Line Coverage for Module :
prim_mubi4_sync ( parameter NumCopies=8,AsyncOn=0,StabilityCheck=0,ResetValue=9 )
Line Coverage for Module self-instances :
| Line No. | Total | Covered | Percent |
TOTAL | | 9 | 9 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 8/8 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3
Line Coverage for Module :
prim_mubi4_sync ( parameter NumCopies=3,AsyncOn=0,StabilityCheck=0,ResetValue=9 )
Line Coverage for Module self-instances :
| Line No. | Total | Covered | Percent |
TOTAL | | 4 | 4 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 3/3 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
Line Coverage for Module :
prim_mubi4_sync ( parameter NumCopies=4,AsyncOn=0,StabilityCheck=0,ResetValue=9 )
Line Coverage for Module self-instances :
| Line No. | Total | Covered | Percent |
TOTAL | | 5 | 5 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 4/4 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3
Assert Coverage for Module :
prim_mubi4_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
4160 |
4160 |
0 |
0 |
T1 |
4 |
4 |
0 |
0 |
T2 |
4 |
4 |
0 |
0 |
T3 |
4 |
4 |
0 |
0 |
T7 |
4 |
4 |
0 |
0 |
T13 |
4 |
4 |
0 |
0 |
T14 |
4 |
4 |
0 |
0 |
T15 |
4 |
4 |
0 |
0 |
T20 |
4 |
4 |
0 |
0 |
T21 |
4 |
4 |
0 |
0 |
T22 |
4 |
4 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
1547907552 |
1544461836 |
0 |
0 |
T1 |
15496 |
15188 |
0 |
0 |
T2 |
42700 |
42352 |
0 |
0 |
T3 |
10212 |
9832 |
0 |
0 |
T7 |
9060 |
8692 |
0 |
0 |
T13 |
5300 |
4928 |
0 |
0 |
T14 |
220940 |
220584 |
0 |
0 |
T15 |
386228 |
385856 |
0 |
0 |
T20 |
5892 |
5640 |
0 |
0 |
T21 |
7688 |
7440 |
0 |
0 |
T22 |
716008 |
715752 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
1547907552 |
1544461836 |
0 |
0 |
T1 |
15496 |
15188 |
0 |
0 |
T2 |
42700 |
42352 |
0 |
0 |
T3 |
10212 |
9832 |
0 |
0 |
T7 |
9060 |
8692 |
0 |
0 |
T13 |
5300 |
4928 |
0 |
0 |
T14 |
220940 |
220584 |
0 |
0 |
T15 |
386228 |
385856 |
0 |
0 |
T20 |
5892 |
5640 |
0 |
0 |
T21 |
7688 |
7440 |
0 |
0 |
T22 |
716008 |
715752 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_disable_buf
| Line No. | Total | Covered | Percent |
TOTAL | | 9 | 9 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 8/8 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3
Assert Coverage for Instance : tb.dut.u_disable_buf
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
1040 |
1040 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T13 |
1 |
1 |
0 |
0 |
T14 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T20 |
1 |
1 |
0 |
0 |
T21 |
1 |
1 |
0 |
0 |
T22 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_eflash.u_disable_buf
| Line No. | Total | Covered | Percent |
TOTAL | | 4 | 4 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 3/3 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
Assert Coverage for Instance : tb.dut.u_eflash.u_disable_buf
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
1040 |
1040 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T13 |
1 |
1 |
0 |
0 |
T14 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T20 |
1 |
1 |
0 |
0 |
T21 |
1 |
1 |
0 |
0 |
T22 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_disable_buf
| Line No. | Total | Covered | Percent |
TOTAL | | 5 | 5 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 4/4 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3
Assert Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_disable_buf
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
1040 |
1040 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T13 |
1 |
1 |
0 |
0 |
T14 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T20 |
1 |
1 |
0 |
0 |
T21 |
1 |
1 |
0 |
0 |
T22 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_disable_buf
| Line No. | Total | Covered | Percent |
TOTAL | | 5 | 5 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 4/4 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3
Assert Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_disable_buf
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
1040 |
1040 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T13 |
1 |
1 |
0 |
0 |
T14 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T20 |
1 |
1 |
0 |
0 |
T21 |
1 |
1 |
0 |
0 |
T22 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |