Line Coverage for Module :
prim_arbiter_tree ( parameter N=4,DW=2,EnDataPort=0,IdxW=2,gen_normal_case.gen_tree[0].gen_level[0].Pa=0,gen_normal_case.gen_tree[0].gen_level[0].C0=1,gen_normal_case.gen_tree[0].gen_level[0].C1=2,gen_normal_case.gen_tree[1].gen_level[0].Pa=1,gen_normal_case.gen_tree[1].gen_level[0].C0=3,gen_normal_case.gen_tree[1].gen_level[0].C1=4,gen_normal_case.gen_tree[1].gen_level[1].Pa=2,gen_normal_case.gen_tree[1].gen_level[1].C0=5,gen_normal_case.gen_tree[1].gen_level[1].C1=6,gen_normal_case.gen_tree[2].gen_level[0].Pa=3,gen_normal_case.gen_tree[2].gen_level[0].C0=7,gen_normal_case.gen_tree[2].gen_level[0].C1=8,gen_normal_case.gen_tree[2].gen_level[1].Pa=4,gen_normal_case.gen_tree[2].gen_level[1].C0=9,gen_normal_case.gen_tree[2].gen_level[1].C1=10,gen_normal_case.gen_tree[2].gen_level[2].Pa=5,gen_normal_case.gen_tree[2].gen_level[2].C0=11,gen_normal_case.gen_tree[2].gen_level[2].C1=12,gen_normal_case.gen_tree[2].gen_level[3].Pa=6,gen_normal_case.gen_tree[2].gen_level[3].C0=13,gen_normal_case.gen_tree[2].gen_level[3].C1=14 )
Line Coverage for Module self-instances :
| Line No. | Total | Covered | Percent |
TOTAL | | 52 | 48 | 92.31 |
CONT_ASSIGN | 62 | 0 | 0 | |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 122 | 1 | 0 | 0.00 |
CONT_ASSIGN | 122 | 1 | 0 | 0.00 |
CONT_ASSIGN | 122 | 1 | 0 | 0.00 |
CONT_ASSIGN | 122 | 1 | 0 | 0.00 |
CONT_ASSIGN | 126 | 1 | 1 | 100.00 |
CONT_ASSIGN | 126 | 1 | 1 | 100.00 |
CONT_ASSIGN | 126 | 1 | 1 | 100.00 |
CONT_ASSIGN | 126 | 1 | 1 | 100.00 |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 148 | 1 | 1 | 100.00 |
CONT_ASSIGN | 148 | 1 | 1 | 100.00 |
CONT_ASSIGN | 148 | 1 | 1 | 100.00 |
CONT_ASSIGN | 150 | 1 | 1 | 100.00 |
CONT_ASSIGN | 150 | 1 | 1 | 100.00 |
CONT_ASSIGN | 150 | 1 | 1 | 100.00 |
CONT_ASSIGN | 151 | 1 | 1 | 100.00 |
CONT_ASSIGN | 151 | 1 | 1 | 100.00 |
CONT_ASSIGN | 151 | 1 | 1 | 100.00 |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 156 | 1 | 1 | 100.00 |
CONT_ASSIGN | 156 | 1 | 1 | 100.00 |
CONT_ASSIGN | 156 | 1 | 1 | 100.00 |
CONT_ASSIGN | 160 | 1 | 1 | 100.00 |
CONT_ASSIGN | 160 | 1 | 1 | 100.00 |
CONT_ASSIGN | 160 | 1 | 1 | 100.00 |
CONT_ASSIGN | 161 | 1 | 1 | 100.00 |
CONT_ASSIGN | 161 | 1 | 1 | 100.00 |
CONT_ASSIGN | 161 | 1 | 1 | 100.00 |
CONT_ASSIGN | 163 | 0 | 0 | |
CONT_ASSIGN | 163 | 0 | 0 | |
CONT_ASSIGN | 163 | 1 | 1 | 100.00 |
CONT_ASSIGN | 164 | 1 | 1 | 100.00 |
CONT_ASSIGN | 164 | 1 | 1 | 100.00 |
CONT_ASSIGN | 164 | 1 | 1 | 100.00 |
CONT_ASSIGN | 174 | 1 | 1 | 100.00 |
CONT_ASSIGN | 180 | 1 | 1 | 100.00 |
CONT_ASSIGN | 182 | 1 | 1 | 100.00 |
CONT_ASSIGN | 183 | 1 | 1 | 100.00 |
ALWAYS | 191 | 3 | 3 | 100.00 |
61 logic unused_req_chk;
62 unreachable assign unused_req_chk = req_chk_i;
63
64 `ASSERT_INIT(CheckNGreaterZero_A, N > 0)
65
66 // this case is basically just a bypass
67 if (N == 1) begin : gen_degenerate_case
68
69 assign valid_o = req_i[0];
70 assign data_o = data_i[0];
71 assign gnt_o[0] = valid_o & ready_i;
72 assign idx_o = '0;
73
74 end else begin : gen_normal_case
75
76 // align to powers of 2 for simplicity
77 // a full binary tree with N levels has 2**N + 2**N-1 nodes
78 logic [2**(IdxW+1)-2:0] req_tree;
79 logic [2**(IdxW+1)-2:0] prio_tree;
80 logic [2**(IdxW+1)-2:0] sel_tree;
81 logic [2**(IdxW+1)-2:0] mask_tree;
82 logic [2**(IdxW+1)-2:0][IdxW-1:0] idx_tree;
83 logic [2**(IdxW+1)-2:0][DW-1:0] data_tree;
84 logic [N-1:0] prio_mask_d, prio_mask_q;
85
86 for (genvar level = 0; level < IdxW+1; level++) begin : gen_tree
87 //
88 // level+1 C0 C1 <- "Base1" points to the first node on "level+1",
89 // \ / these nodes are the children of the nodes one level below
90 // level Pa <- "Base0", points to the first node on "level",
91 // these nodes are the parents of the nodes one level above
92 //
93 // hence we have the following indices for the Pa, C0, C1 nodes:
94 // Pa = 2**level - 1 + offset = Base0 + offset
95 // C0 = 2**(level+1) - 1 + 2*offset = Base1 + 2*offset
96 // C1 = 2**(level+1) - 1 + 2*offset + 1 = Base1 + 2*offset + 1
97 //
98 localparam int Base0 = (2**level)-1;
99 localparam int Base1 = (2**(level+1))-1;
100
101 for (genvar offset = 0; offset < 2**level; offset++) begin : gen_level
102 localparam int Pa = Base0 + offset;
103 localparam int C0 = Base1 + 2*offset;
104 localparam int C1 = Base1 + 2*offset + 1;
105
106 // this assigns the gated interrupt source signals, their
107 // corresponding IDs and priorities to the tree leafs
108 if (level == IdxW) begin : gen_leafs
109 if (offset < N) begin : gen_assign
110 // forward path (requests and data)
111 // all requests inputs are assigned to the request tree
112 4/4 assign req_tree[Pa] = req_i[offset];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3
113 // we basically split the incoming request vector into two halves with the following
114 // priority assignment. the prio_mask_q register contains a prefix sum that has been
115 // computed using the last winning index, and hence masks out all requests at offsets
116 // lower or equal the previously granted index. hence, all higher indices are considered
117 // first in the arbitration tree nodes below, before considering the lower indices.
118 4/4 assign prio_tree[Pa] = req_i[offset] & prio_mask_q[offset];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3
119 // input for the index muxes (used to compute the winner index)
120 assign idx_tree[Pa] = offset;
121 // input for the data muxes
122 0/4 ==> assign data_tree[Pa] = data_i[offset];
123
124 // backward path (grants and prefix sum)
125 // grant if selected, ready and request asserted
126 4/4 assign gnt_o[offset] = req_i[offset] & sel_tree[Pa] & ready_i;
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3
127 // only update mask if there is a valid request
128 4/4 assign prio_mask_d[offset] = (|req_i) ?
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3
129 mask_tree[Pa] | sel_tree[Pa] & ~ready_i :
130 prio_mask_q[offset];
131 end else begin : gen_tie_off
132 // forward path
133 assign req_tree[Pa] = '0;
134 assign prio_tree[Pa] = '0;
135 assign idx_tree[Pa] = '0;
136 assign data_tree[Pa] = '0;
137 logic unused_sigs;
138 assign unused_sigs = ^{mask_tree[Pa],
139 sel_tree[Pa]};
140 end
141 // this creates the node assignments
142 end else begin : gen_nodes
143 // local helper variable
144 logic sel;
145
146 // forward path (requests and data)
147 // each node looks at its two children, and selects the one with higher priority
148 3/3 assign sel = ~req_tree[C0] | ~prio_tree[C0] & prio_tree[C1];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
149 // propagate requests
150 3/3 assign req_tree[Pa] = req_tree[C0] | req_tree[C1];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
151 3/3 assign prio_tree[Pa] = prio_tree[C1] | prio_tree[C0];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
152 // data and index muxes
153 // Note: these ternaries have triggered a synthesis bug in Vivado versions older
154 // than 2020.2. If the problem resurfaces again, have a look at issue #1408.
155 3/3 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
156 3/3 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
157
158 // backward path (grants and prefix sum)
159 // this propagates the selction index back and computes a hot one mask
160 3/3 assign sel_tree[C0] = sel_tree[Pa] & ~sel;
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
161 3/3 assign sel_tree[C1] = sel_tree[Pa] & sel;
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
162 // this performs a prefix sum for masking the input requests in the next cycle
163 1/1(2 unreachable) assign mask_tree[C0] = mask_tree[Pa];
Tests: T1 T2 T3
164 3/3 assign mask_tree[C1] = mask_tree[Pa] | sel_tree[C0];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
165 end
166 end : gen_level
167 end : gen_tree
168
169 // the results can be found at the tree root
170 if (EnDataPort) begin : gen_data_port
171 assign data_o = data_tree[0];
172 end else begin : gen_no_dataport
173 logic [DW-1:0] unused_data;
174 1/1 assign unused_data = data_tree[0];
Tests: T1 T2 T3
175 assign data_o = '1;
176 end
177
178 // This index is unused.
179 logic unused_prio_tree;
180 1/1 assign unused_prio_tree = prio_tree[0];
Tests: T1 T2 T3
181
182 1/1 assign idx_o = idx_tree[0];
Tests: T1 T2 T3
183 1/1 assign valid_o = req_tree[0];
Tests: T1 T2 T3
184
185 // the select tree computes a hot one signal that indicates which request is currently selected
186 assign sel_tree[0] = 1'b1;
187 // the mask tree is basically a prefix sum of the hot one select signal computed above
188 assign mask_tree[0] = 1'b0;
189
190 always_ff @(posedge clk_i or negedge rst_ni) begin : p_mask_reg
191 1/1 if (!rst_ni) begin
Tests: T1 T2 T3
192 1/1 prio_mask_q <= '0;
Tests: T1 T2 T3
193 end else begin
194 1/1 prio_mask_q <= prio_mask_d;
Tests: T1 T2 T3
Line Coverage for Module :
prim_arbiter_tree ( parameter N=2,DW=16,EnDataPort=1,IdxW=1,gen_normal_case.gen_tree[0].gen_level[0].Pa=0,gen_normal_case.gen_tree[0].gen_level[0].C0=1,gen_normal_case.gen_tree[0].gen_level[0].C1=2,gen_normal_case.gen_tree[1].gen_level[0].Pa=1,gen_normal_case.gen_tree[1].gen_level[0].C0=3,gen_normal_case.gen_tree[1].gen_level[0].C1=4,gen_normal_case.gen_tree[1].gen_level[1].Pa=2,gen_normal_case.gen_tree[1].gen_level[1].C0=5,gen_normal_case.gen_tree[1].gen_level[1].C1=6 + N=2,DW=129,EnDataPort=1,IdxW=1,gen_normal_case.gen_tree[0].gen_level[0].Pa=0,gen_normal_case.gen_tree[0].gen_level[0].C0=1,gen_normal_case.gen_tree[0].gen_level[0].C1=2,gen_normal_case.gen_tree[1].gen_level[0].Pa=1,gen_normal_case.gen_tree[1].gen_level[0].C0=3,gen_normal_case.gen_tree[1].gen_level[0].C1=4,gen_normal_case.gen_tree[1].gen_level[1].Pa=2,gen_normal_case.gen_tree[1].gen_level[1].C0=5,gen_normal_case.gen_tree[1].gen_level[1].C1=6 )
Line Coverage for Module self-instances :
| Line No. | Total | Covered | Percent |
TOTAL | | 25 | 25 | 100.00 |
CONT_ASSIGN | 62 | 0 | 0 | |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 122 | 1 | 1 | 100.00 |
CONT_ASSIGN | 122 | 1 | 1 | 100.00 |
CONT_ASSIGN | 126 | 1 | 1 | 100.00 |
CONT_ASSIGN | 126 | 1 | 1 | 100.00 |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 148 | 1 | 1 | 100.00 |
CONT_ASSIGN | 150 | 1 | 1 | 100.00 |
CONT_ASSIGN | 151 | 1 | 1 | 100.00 |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 156 | 1 | 1 | 100.00 |
CONT_ASSIGN | 160 | 1 | 1 | 100.00 |
CONT_ASSIGN | 161 | 1 | 1 | 100.00 |
CONT_ASSIGN | 163 | 0 | 0 | |
CONT_ASSIGN | 164 | 1 | 1 | 100.00 |
CONT_ASSIGN | 171 | 1 | 1 | 100.00 |
CONT_ASSIGN | 180 | 1 | 1 | 100.00 |
CONT_ASSIGN | 182 | 1 | 1 | 100.00 |
CONT_ASSIGN | 183 | 1 | 1 | 100.00 |
ALWAYS | 191 | 3 | 3 | 100.00 |
61 logic unused_req_chk;
62 unreachable assign unused_req_chk = req_chk_i;
63
64 `ASSERT_INIT(CheckNGreaterZero_A, N > 0)
65
66 // this case is basically just a bypass
67 if (N == 1) begin : gen_degenerate_case
68
69 assign valid_o = req_i[0];
70 assign data_o = data_i[0];
71 assign gnt_o[0] = valid_o & ready_i;
72 assign idx_o = '0;
73
74 end else begin : gen_normal_case
75
76 // align to powers of 2 for simplicity
77 // a full binary tree with N levels has 2**N + 2**N-1 nodes
78 logic [2**(IdxW+1)-2:0] req_tree;
79 logic [2**(IdxW+1)-2:0] prio_tree;
80 logic [2**(IdxW+1)-2:0] sel_tree;
81 logic [2**(IdxW+1)-2:0] mask_tree;
82 logic [2**(IdxW+1)-2:0][IdxW-1:0] idx_tree;
83 logic [2**(IdxW+1)-2:0][DW-1:0] data_tree;
84 logic [N-1:0] prio_mask_d, prio_mask_q;
85
86 for (genvar level = 0; level < IdxW+1; level++) begin : gen_tree
87 //
88 // level+1 C0 C1 <- "Base1" points to the first node on "level+1",
89 // \ / these nodes are the children of the nodes one level below
90 // level Pa <- "Base0", points to the first node on "level",
91 // these nodes are the parents of the nodes one level above
92 //
93 // hence we have the following indices for the Pa, C0, C1 nodes:
94 // Pa = 2**level - 1 + offset = Base0 + offset
95 // C0 = 2**(level+1) - 1 + 2*offset = Base1 + 2*offset
96 // C1 = 2**(level+1) - 1 + 2*offset + 1 = Base1 + 2*offset + 1
97 //
98 localparam int Base0 = (2**level)-1;
99 localparam int Base1 = (2**(level+1))-1;
100
101 for (genvar offset = 0; offset < 2**level; offset++) begin : gen_level
102 localparam int Pa = Base0 + offset;
103 localparam int C0 = Base1 + 2*offset;
104 localparam int C1 = Base1 + 2*offset + 1;
105
106 // this assigns the gated interrupt source signals, their
107 // corresponding IDs and priorities to the tree leafs
108 if (level == IdxW) begin : gen_leafs
109 if (offset < N) begin : gen_assign
110 // forward path (requests and data)
111 // all requests inputs are assigned to the request tree
112 2/2 assign req_tree[Pa] = req_i[offset];
Tests: T1 T2 T3 | T1 T2 T3
113 // we basically split the incoming request vector into two halves with the following
114 // priority assignment. the prio_mask_q register contains a prefix sum that has been
115 // computed using the last winning index, and hence masks out all requests at offsets
116 // lower or equal the previously granted index. hence, all higher indices are considered
117 // first in the arbitration tree nodes below, before considering the lower indices.
118 2/2 assign prio_tree[Pa] = req_i[offset] & prio_mask_q[offset];
Tests: T1 T2 T3 | T1 T2 T3
119 // input for the index muxes (used to compute the winner index)
120 assign idx_tree[Pa] = offset;
121 // input for the data muxes
122 2/2 assign data_tree[Pa] = data_i[offset];
Tests: T1 T2 T3 | T1 T2 T3
123
124 // backward path (grants and prefix sum)
125 // grant if selected, ready and request asserted
126 2/2 assign gnt_o[offset] = req_i[offset] & sel_tree[Pa] & ready_i;
Tests: T1 T2 T3 | T1 T2 T3
127 // only update mask if there is a valid request
128 2/2 assign prio_mask_d[offset] = (|req_i) ?
Tests: T1 T2 T3 | T1 T2 T3
129 mask_tree[Pa] | sel_tree[Pa] & ~ready_i :
130 prio_mask_q[offset];
131 end else begin : gen_tie_off
132 // forward path
133 assign req_tree[Pa] = '0;
134 assign prio_tree[Pa] = '0;
135 assign idx_tree[Pa] = '0;
136 assign data_tree[Pa] = '0;
137 logic unused_sigs;
138 assign unused_sigs = ^{mask_tree[Pa],
139 sel_tree[Pa]};
140 end
141 // this creates the node assignments
142 end else begin : gen_nodes
143 // local helper variable
144 logic sel;
145
146 // forward path (requests and data)
147 // each node looks at its two children, and selects the one with higher priority
148 1/1 assign sel = ~req_tree[C0] | ~prio_tree[C0] & prio_tree[C1];
Tests: T1 T2 T3
149 // propagate requests
150 1/1 assign req_tree[Pa] = req_tree[C0] | req_tree[C1];
Tests: T1 T2 T3
151 1/1 assign prio_tree[Pa] = prio_tree[C1] | prio_tree[C0];
Tests: T1 T2 T3
152 // data and index muxes
153 // Note: these ternaries have triggered a synthesis bug in Vivado versions older
154 // than 2020.2. If the problem resurfaces again, have a look at issue #1408.
155 1/1 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
Tests: T1 T2 T3
156 1/1 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
Tests: T1 T2 T3
157
158 // backward path (grants and prefix sum)
159 // this propagates the selction index back and computes a hot one mask
160 1/1 assign sel_tree[C0] = sel_tree[Pa] & ~sel;
Tests: T1 T2 T3
161 1/1 assign sel_tree[C1] = sel_tree[Pa] & sel;
Tests: T1 T2 T3
162 // this performs a prefix sum for masking the input requests in the next cycle
163 unreachable assign mask_tree[C0] = mask_tree[Pa];
164 1/1 assign mask_tree[C1] = mask_tree[Pa] | sel_tree[C0];
Tests: T1 T2 T3
165 end
166 end : gen_level
167 end : gen_tree
168
169 // the results can be found at the tree root
170 if (EnDataPort) begin : gen_data_port
171 1/1 assign data_o = data_tree[0];
Tests: T1 T2 T3
172 end else begin : gen_no_dataport
173 logic [DW-1:0] unused_data;
174 assign unused_data = data_tree[0];
175 assign data_o = '1;
176 end
177
178 // This index is unused.
179 logic unused_prio_tree;
180 1/1 assign unused_prio_tree = prio_tree[0];
Tests: T1 T2 T3
181
182 1/1 assign idx_o = idx_tree[0];
Tests: T1 T2 T3
183 1/1 assign valid_o = req_tree[0];
Tests: T1 T2 T3
184
185 // the select tree computes a hot one signal that indicates which request is currently selected
186 assign sel_tree[0] = 1'b1;
187 // the mask tree is basically a prefix sum of the hot one select signal computed above
188 assign mask_tree[0] = 1'b0;
189
190 always_ff @(posedge clk_i or negedge rst_ni) begin : p_mask_reg
191 1/1 if (!rst_ni) begin
Tests: T1 T2 T3
192 1/1 prio_mask_q <= '0;
Tests: T1 T2 T3
193 end else begin
194 1/1 prio_mask_q <= prio_mask_d;
Tests: T1 T2 T3
Cond Coverage for Module :
prim_arbiter_tree ( parameter N=2,DW=16,EnDataPort=1,IdxW=1,gen_normal_case.gen_tree[0].gen_level[0].Pa=0,gen_normal_case.gen_tree[0].gen_level[0].C0=1,gen_normal_case.gen_tree[0].gen_level[0].C1=2,gen_normal_case.gen_tree[1].gen_level[0].Pa=1,gen_normal_case.gen_tree[1].gen_level[0].C0=3,gen_normal_case.gen_tree[1].gen_level[0].C1=4,gen_normal_case.gen_tree[1].gen_level[1].Pa=2,gen_normal_case.gen_tree[1].gen_level[1].C0=5,gen_normal_case.gen_tree[1].gen_level[1].C1=6 )
Cond Coverage for Module self-instances :
| Total | Covered | Percent |
Conditions | 43 | 42 | 97.67 |
Logical | 43 | 42 | 97.67 |
Non-Logical | 0 | 0 | |
Event | 0 | 0 | |
LINE 118
EXPRESSION (req_i[0] & gen_normal_case.prio_mask_q[0])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Not Covered | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 118
EXPRESSION (req_i[1] & gen_normal_case.prio_mask_q[1])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T20,T14,T22 |
1 | 1 | Covered | T20,T14,T22 |
LINE 126
EXPRESSION (req_i[0] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Unreachable | |
1 | 0 | 1 | Unreachable | T17,T43,T65 |
1 | 1 | 0 | Covered | T1,T2,T3 |
1 | 1 | 1 | Unreachable | T1,T2,T3 |
LINE 126
EXPRESSION (req_i[1] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Unreachable | T170 |
1 | 0 | 1 | Unreachable | T17,T43,T65 |
1 | 1 | 0 | Covered | T20,T14,T22 |
1 | 1 | 1 | Unreachable | T20,T14,T22 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Unreachable | |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T20,T14,T22 |
1 | 0 | Unreachable | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[1])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T20,T14,T22 |
0 | 1 | Covered | T20,T14,T22 |
1 | 0 | Covered | T1,T2,T3 |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Unreachable | T20,T14,T22 |
1 | 1 | Covered | T20,T14,T22 |
LINE 148
EXPRESSION
Number Term
1 ((~gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) |
2 (((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) & gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1]))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T17,T43,T65 |
1 | 0 | Covered | T1,T2,T3 |
LINE 148
SUB-EXPRESSION
Number Term
1 ((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) &
2 gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1])
-1- | -2- | Status | Tests |
0 | 1 | Covered | T17,T43,T65 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T20,T14,T22 |
LINE 150
EXPRESSION (gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C0] | gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C1])
----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T20,T14,T22 |
1 | 0 | Covered | T1,T2,T3 |
LINE 151
EXPRESSION (gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] | gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-----------------------------------1---------------------------------- -----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T20,T14,T22 |
LINE 155
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel ? gen_normal_case.idx_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] : gen_normal_case.idx_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 156
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel ? gen_normal_case.data_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] : gen_normal_case.data_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 160
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] & ((~gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel)))
----------------------------------1---------------------------------- -----------------------------2-----------------------------
-1- | -2- | Status | Tests |
0 | 1 | Unreachable | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 161
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] & gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel)
----------------------------------1---------------------------------- ---------------------------2--------------------------
-1- | -2- | Status | Tests |
0 | 1 | Unreachable | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 164
EXPRESSION (gen_normal_case.mask_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] | gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Unreachable | |
Cond Coverage for Module :
prim_arbiter_tree ( parameter N=2,DW=129,EnDataPort=1,IdxW=1,gen_normal_case.gen_tree[0].gen_level[0].Pa=0,gen_normal_case.gen_tree[0].gen_level[0].C0=1,gen_normal_case.gen_tree[0].gen_level[0].C1=2,gen_normal_case.gen_tree[1].gen_level[0].Pa=1,gen_normal_case.gen_tree[1].gen_level[0].C0=3,gen_normal_case.gen_tree[1].gen_level[0].C1=4,gen_normal_case.gen_tree[1].gen_level[1].Pa=2,gen_normal_case.gen_tree[1].gen_level[1].C0=5,gen_normal_case.gen_tree[1].gen_level[1].C1=6 )
Cond Coverage for Module self-instances :
| Total | Covered | Percent |
Conditions | 51 | 44 | 86.27 |
Logical | 51 | 44 | 86.27 |
Non-Logical | 0 | 0 | |
Event | 0 | 0 | |
LINE 118
EXPRESSION (req_i[0] & gen_normal_case.prio_mask_q[0])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Not Covered | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 118
EXPRESSION (req_i[1] & gen_normal_case.prio_mask_q[1])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T20,T14,T22 |
1 | 1 | Covered | T20,T14,T22 |
LINE 126
EXPRESSION (req_i[0] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Not Covered | |
1 | 0 | 1 | Not Covered | |
1 | 1 | 0 | Covered | T1,T2,T3 |
1 | 1 | 1 | Covered | T1,T2,T3 |
LINE 126
EXPRESSION (req_i[1] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Not Covered | |
1 | 0 | 1 | Not Covered | |
1 | 1 | 0 | Covered | T20,T14,T22 |
1 | 1 | 1 | Covered | T20,T14,T22 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Unreachable | |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T20,T14,T22 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[1])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T20,T14,T22 |
0 | 1 | Covered | T20,T14,T22 |
1 | 0 | Covered | T1,T2,T3 |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T20,T14,T22 |
1 | 1 | Covered | T20,T14,T22 |
LINE 148
EXPRESSION
Number Term
1 ((~gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) |
2 (((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) & gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1]))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Not Covered | |
1 | 0 | Covered | T1,T2,T3 |
LINE 148
SUB-EXPRESSION
Number Term
1 ((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) &
2 gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1])
-1- | -2- | Status | Tests |
0 | 1 | Not Covered | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T20,T14,T22 |
LINE 150
EXPRESSION (gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C0] | gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C1])
----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T20,T14,T22 |
1 | 0 | Covered | T1,T2,T3 |
LINE 151
EXPRESSION (gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] | gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-----------------------------------1---------------------------------- -----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T20,T14,T22 |
LINE 155
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel ? gen_normal_case.idx_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] : gen_normal_case.idx_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 156
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel ? gen_normal_case.data_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] : gen_normal_case.data_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 160
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] & ((~gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel)))
----------------------------------1---------------------------------- -----------------------------2-----------------------------
-1- | -2- | Status | Tests |
0 | 1 | Unreachable | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 161
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] & gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel)
----------------------------------1---------------------------------- ---------------------------2--------------------------
-1- | -2- | Status | Tests |
0 | 1 | Unreachable | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 164
EXPRESSION (gen_normal_case.mask_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] | gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Unreachable | |
Cond Coverage for Module :
prim_arbiter_tree ( parameter N=4,DW=2,EnDataPort=0,IdxW=2,gen_normal_case.gen_tree[0].gen_level[0].Pa=0,gen_normal_case.gen_tree[0].gen_level[0].C0=1,gen_normal_case.gen_tree[0].gen_level[0].C1=2,gen_normal_case.gen_tree[1].gen_level[0].Pa=1,gen_normal_case.gen_tree[1].gen_level[0].C0=3,gen_normal_case.gen_tree[1].gen_level[0].C1=4,gen_normal_case.gen_tree[1].gen_level[1].Pa=2,gen_normal_case.gen_tree[1].gen_level[1].C0=5,gen_normal_case.gen_tree[1].gen_level[1].C1=6,gen_normal_case.gen_tree[2].gen_level[0].Pa=3,gen_normal_case.gen_tree[2].gen_level[0].C0=7,gen_normal_case.gen_tree[2].gen_level[0].C1=8,gen_normal_case.gen_tree[2].gen_level[1].Pa=4,gen_normal_case.gen_tree[2].gen_level[1].C0=9,gen_normal_case.gen_tree[2].gen_level[1].C1=10,gen_normal_case.gen_tree[2].gen_level[2].Pa=5,gen_normal_case.gen_tree[2].gen_level[2].C0=11,gen_normal_case.gen_tree[2].gen_level[2].C1=12,gen_normal_case.gen_tree[2].gen_level[3].Pa=6,gen_normal_case.gen_tree[2].gen_level[3].C0=13,gen_normal_case.gen_tree[2].gen_level[3].C1=14 )
Cond Coverage for Module self-instances :
| Total | Covered | Percent |
Conditions | 130 | 127 | 97.69 |
Logical | 130 | 127 | 97.69 |
Non-Logical | 0 | 0 | |
Event | 0 | 0 | |
LINE 118
EXPRESSION (req_i[0] & gen_normal_case.prio_mask_q[0])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T15,T16,T64 |
1 | 0 | Covered | T2,T3,T7 |
1 | 1 | Covered | T2,T3,T7 |
LINE 118
EXPRESSION (req_i[1] & gen_normal_case.prio_mask_q[1])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T7,T15,T16 |
1 | 0 | Covered | T2,T3,T7 |
1 | 1 | Covered | T2,T3,T7 |
LINE 118
EXPRESSION (req_i[2] & gen_normal_case.prio_mask_q[2])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T7,T15,T16 |
1 | 0 | Covered | T2,T3,T7 |
1 | 1 | Covered | T2,T3,T7 |
LINE 118
EXPRESSION (req_i[3] & gen_normal_case.prio_mask_q[3])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T61,T172,T173 |
1 | 1 | Covered | T2,T3,T7 |
LINE 126
EXPRESSION (req_i[0] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[0].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Not Covered | |
1 | 0 | 1 | Covered | T2,T3,T7 |
1 | 1 | 0 | Covered | T2,T3,T7 |
1 | 1 | 1 | Covered | T2,T3,T7 |
LINE 126
EXPRESSION (req_i[1] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[1].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Not Covered | |
1 | 0 | 1 | Covered | T2,T3,T7 |
1 | 1 | 0 | Covered | T2,T3,T7 |
1 | 1 | 1 | Covered | T2,T3,T7 |
LINE 126
EXPRESSION (req_i[2] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[2].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Not Covered | |
1 | 0 | 1 | Covered | T2,T3,T7 |
1 | 1 | 0 | Covered | T2,T3,T7 |
1 | 1 | 1 | Covered | T2,T3,T20 |
LINE 126
EXPRESSION (req_i[3] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[3].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Covered | T1,T2,T3 |
1 | 0 | 1 | Covered | T2,T3,T7 |
1 | 1 | 0 | Covered | T2,T3,T20 |
1 | 1 | 1 | Covered | T2,T3,T20 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[0].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[0].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T2,T3,T7 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[0].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[0].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T3,T7 |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Unreachable | |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[0].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T2,T3,T7 |
1 | 1 | Covered | T2,T3,T7 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[1].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[1].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[1])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T2,T3,T7 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[1].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[1].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T3,T7 |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T2,T3,T7 |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[1].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T2,T3,T7 |
1 | 1 | Covered | T2,T3,T7 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[2].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[2].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[2])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T2,T3,T7 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[2].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[2].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T3,T20 |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T2,T3,T7 |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[2].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T2,T3,T20 |
1 | 1 | Covered | T2,T3,T7 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[3].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[3].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[3])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T2,T3,T7 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[3].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[3].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T3,T20 |
0 | 1 | Covered | T2,T3,T20 |
1 | 0 | Covered | T2,T3,T7 |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[3].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T2,T3,T20 |
1 | 1 | Covered | T2,T3,T20 |
LINE 148
EXPRESSION
Number Term
1 ((~gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) |
2 (((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) & gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1]))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T3,T7 |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T1,T2,T3 |
LINE 148
SUB-EXPRESSION
Number Term
1 ((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) &
2 gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1])
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T2,T3,T7 |
LINE 148
EXPRESSION
Number Term
1 ((~gen_normal_case.req_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])) |
2 (((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])) & gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[0].C1]))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T3,T7 |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T1,T2,T3 |
LINE 148
SUB-EXPRESSION
Number Term
1 ((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])) &
2 gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[0].C1])
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T2,T3,T7 |
LINE 148
EXPRESSION
Number Term
1 ((~gen_normal_case.req_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])) |
2 (((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])) & gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[1].C1]))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T3,T7 |
0 | 1 | Covered | T2,T3,T20 |
1 | 0 | Covered | T1,T2,T3 |
LINE 148
SUB-EXPRESSION
Number Term
1 ((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])) &
2 gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[1].C1])
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T2,T3,T20 |
LINE 150
EXPRESSION (gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C0] | gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C1])
----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T43,T58,T61 |
1 | 0 | Covered | T17,T43,T58 |
LINE 150
EXPRESSION (gen_normal_case.req_tree[gen_normal_case.gen_tree[1].gen_level[0].C0] | gen_normal_case.req_tree[gen_normal_case.gen_tree[1].gen_level[0].C1])
----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T2,T3,T7 |
LINE 150
EXPRESSION (gen_normal_case.req_tree[gen_normal_case.gen_tree[1].gen_level[1].C0] | gen_normal_case.req_tree[gen_normal_case.gen_tree[1].gen_level[1].C1])
----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T2,T3,T20 |
1 | 0 | Covered | T2,T3,T7 |
LINE 151
EXPRESSION (gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] | gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-----------------------------------1---------------------------------- -----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T17,T43,T58 |
1 | 0 | Covered | T2,T3,T7 |
LINE 151
EXPRESSION (gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[0].C1] | gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])
-----------------------------------1---------------------------------- -----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T43,T65,T27 |
1 | 0 | Covered | T2,T3,T7 |
LINE 151
EXPRESSION (gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[1].C1] | gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])
-----------------------------------1---------------------------------- -----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T2,T3,T20 |
LINE 155
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel ? gen_normal_case.idx_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] : gen_normal_case.idx_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T2,T3,T7 |
1 | Covered | T1,T2,T3 |
LINE 155
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[1].gen_level[0].gen_nodes.sel ? gen_normal_case.idx_tree[gen_normal_case.gen_tree[1].gen_level[0].C1] : gen_normal_case.idx_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T2,T3,T7 |
1 | Covered | T1,T2,T3 |
LINE 155
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[1].gen_level[1].gen_nodes.sel ? gen_normal_case.idx_tree[gen_normal_case.gen_tree[1].gen_level[1].C1] : gen_normal_case.idx_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])
-1- | Status | Tests |
0 | Covered | T2,T3,T7 |
1 | Covered | T1,T2,T3 |
LINE 156
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel ? gen_normal_case.data_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] : gen_normal_case.data_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T2,T3,T7 |
1 | Covered | T1,T2,T3 |
LINE 156
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[1].gen_level[0].gen_nodes.sel ? gen_normal_case.data_tree[gen_normal_case.gen_tree[1].gen_level[0].C1] : gen_normal_case.data_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T2,T3,T7 |
1 | Covered | T1,T2,T3 |
LINE 156
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[1].gen_level[1].gen_nodes.sel ? gen_normal_case.data_tree[gen_normal_case.gen_tree[1].gen_level[1].C1] : gen_normal_case.data_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])
-1- | Status | Tests |
0 | Covered | T2,T3,T7 |
1 | Covered | T1,T2,T3 |
LINE 160
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] & ((~gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel)))
----------------------------------1---------------------------------- -----------------------------2-----------------------------
-1- | -2- | Status | Tests |
0 | 1 | Unreachable | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T2,T3,T7 |
LINE 160
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~gen_normal_case.gen_tree[1].gen_level[0].gen_nodes.sel)))
----------------------------------1---------------------------------- -----------------------------2-----------------------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T2,T3,T7 |
1 | 1 | Covered | T2,T3,T7 |
LINE 160
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~gen_normal_case.gen_tree[1].gen_level[1].gen_nodes.sel)))
----------------------------------1---------------------------------- -----------------------------2-----------------------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T2,T3,T7 |
LINE 161
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] & gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel)
----------------------------------1---------------------------------- ---------------------------2--------------------------
-1- | -2- | Status | Tests |
0 | 1 | Unreachable | |
1 | 0 | Covered | T2,T3,T7 |
1 | 1 | Covered | T1,T2,T3 |
LINE 161
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & gen_normal_case.gen_tree[1].gen_level[0].gen_nodes.sel)
----------------------------------1---------------------------------- ---------------------------2--------------------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T2,T3,T7 |
1 | 1 | Covered | T2,T3,T7 |
LINE 161
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & gen_normal_case.gen_tree[1].gen_level[1].gen_nodes.sel)
----------------------------------1---------------------------------- ---------------------------2--------------------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T17,T43,T65 |
1 | 0 | Covered | T2,T3,T7 |
1 | 1 | Covered | T1,T2,T3 |
LINE 164
EXPRESSION (gen_normal_case.mask_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] | gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Unreachable | |
LINE 164
EXPRESSION (gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] | gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])
-----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Unreachable | |
LINE 164
EXPRESSION (gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] | gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])
-----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T2,T3,T7 |
Branch Coverage for Module :
prim_arbiter_tree ( parameter N=4,DW=2,EnDataPort=0,IdxW=2,gen_normal_case.gen_tree[0].gen_level[0].Pa=0,gen_normal_case.gen_tree[0].gen_level[0].C0=1,gen_normal_case.gen_tree[0].gen_level[0].C1=2,gen_normal_case.gen_tree[1].gen_level[0].Pa=1,gen_normal_case.gen_tree[1].gen_level[0].C0=3,gen_normal_case.gen_tree[1].gen_level[0].C1=4,gen_normal_case.gen_tree[1].gen_level[1].Pa=2,gen_normal_case.gen_tree[1].gen_level[1].C0=5,gen_normal_case.gen_tree[1].gen_level[1].C1=6,gen_normal_case.gen_tree[2].gen_level[0].Pa=3,gen_normal_case.gen_tree[2].gen_level[0].C0=7,gen_normal_case.gen_tree[2].gen_level[0].C1=8,gen_normal_case.gen_tree[2].gen_level[1].Pa=4,gen_normal_case.gen_tree[2].gen_level[1].C0=9,gen_normal_case.gen_tree[2].gen_level[1].C1=10,gen_normal_case.gen_tree[2].gen_level[2].Pa=5,gen_normal_case.gen_tree[2].gen_level[2].C0=11,gen_normal_case.gen_tree[2].gen_level[2].C1=12,gen_normal_case.gen_tree[2].gen_level[3].Pa=6,gen_normal_case.gen_tree[2].gen_level[3].C0=13,gen_normal_case.gen_tree[2].gen_level[3].C1=14 )
Branch Coverage for Module self-instances :
| Line No. | Total | Covered | Percent |
Branches |
|
22 |
22 |
100.00 |
TERNARY |
155 |
2 |
2 |
100.00 |
TERNARY |
156 |
2 |
2 |
100.00 |
TERNARY |
155 |
2 |
2 |
100.00 |
TERNARY |
156 |
2 |
2 |
100.00 |
TERNARY |
155 |
2 |
2 |
100.00 |
TERNARY |
156 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
IF |
191 |
2 |
2 |
100.00 |
155 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T3,T7 |
156 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T3,T7 |
155 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T3,T7 |
156 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T3,T7 |
155 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T3,T7 |
156 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T3,T7 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T2,T3,T7 |
0 |
Covered |
T1,T2,T3 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T2,T3,T7 |
0 |
Covered |
T1,T2,T3 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T2,T3,T7 |
0 |
Covered |
T1,T2,T3 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T2,T3,T7 |
0 |
Covered |
T1,T2,T3 |
191 if (!rst_ni) begin
-1-
192 prio_mask_q <= '0;
==>
193 end else begin
194 prio_mask_q <= prio_mask_d;
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
Branch Coverage for Module :
prim_arbiter_tree ( parameter N=2,DW=16,EnDataPort=1,IdxW=1,gen_normal_case.gen_tree[0].gen_level[0].Pa=0,gen_normal_case.gen_tree[0].gen_level[0].C0=1,gen_normal_case.gen_tree[0].gen_level[0].C1=2,gen_normal_case.gen_tree[1].gen_level[0].Pa=1,gen_normal_case.gen_tree[1].gen_level[0].C0=3,gen_normal_case.gen_tree[1].gen_level[0].C1=4,gen_normal_case.gen_tree[1].gen_level[1].Pa=2,gen_normal_case.gen_tree[1].gen_level[1].C0=5,gen_normal_case.gen_tree[1].gen_level[1].C1=6 + N=2,DW=129,EnDataPort=1,IdxW=1,gen_normal_case.gen_tree[0].gen_level[0].Pa=0,gen_normal_case.gen_tree[0].gen_level[0].C0=1,gen_normal_case.gen_tree[0].gen_level[0].C1=2,gen_normal_case.gen_tree[1].gen_level[0].Pa=1,gen_normal_case.gen_tree[1].gen_level[0].C0=3,gen_normal_case.gen_tree[1].gen_level[0].C1=4,gen_normal_case.gen_tree[1].gen_level[1].Pa=2,gen_normal_case.gen_tree[1].gen_level[1].C0=5,gen_normal_case.gen_tree[1].gen_level[1].C1=6 )
Branch Coverage for Module self-instances :
| Line No. | Total | Covered | Percent |
Branches |
|
10 |
10 |
100.00 |
TERNARY |
155 |
2 |
2 |
100.00 |
TERNARY |
156 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
IF |
191 |
2 |
2 |
100.00 |
155 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
156 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
191 if (!rst_ni) begin
-1-
192 prio_mask_q <= '0;
==>
193 end else begin
194 prio_mask_q <= prio_mask_d;
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
Assert Coverage for Module :
prim_arbiter_tree
Assertion Details
CheckHotOne_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
2147483647 |
2147483647 |
0 |
0 |
T1 |
23244 |
22782 |
0 |
0 |
T2 |
64050 |
63528 |
0 |
0 |
T3 |
15318 |
14748 |
0 |
0 |
T7 |
13590 |
13038 |
0 |
0 |
T13 |
7950 |
7392 |
0 |
0 |
T14 |
331410 |
330876 |
0 |
0 |
T15 |
579342 |
578784 |
0 |
0 |
T20 |
8838 |
8460 |
0 |
0 |
T21 |
11532 |
11160 |
0 |
0 |
T22 |
1074012 |
1073628 |
0 |
0 |
CheckNGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
6240 |
6240 |
0 |
0 |
T1 |
6 |
6 |
0 |
0 |
T2 |
6 |
6 |
0 |
0 |
T3 |
6 |
6 |
0 |
0 |
T7 |
6 |
6 |
0 |
0 |
T13 |
6 |
6 |
0 |
0 |
T14 |
6 |
6 |
0 |
0 |
T15 |
6 |
6 |
0 |
0 |
T20 |
6 |
6 |
0 |
0 |
T21 |
6 |
6 |
0 |
0 |
T22 |
6 |
6 |
0 |
0 |
GntImpliesReady_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
2147483647 |
70993472 |
0 |
0 |
T1 |
15496 |
128 |
0 |
0 |
T2 |
64050 |
556 |
0 |
0 |
T3 |
15318 |
197 |
0 |
0 |
T7 |
13590 |
130 |
0 |
0 |
T13 |
7950 |
129 |
0 |
0 |
T14 |
331410 |
1694 |
0 |
0 |
T15 |
579342 |
908 |
0 |
0 |
T16 |
0 |
55 |
0 |
0 |
T17 |
0 |
575 |
0 |
0 |
T20 |
8838 |
494 |
0 |
0 |
T21 |
11532 |
197 |
0 |
0 |
T22 |
1074012 |
10144 |
0 |
0 |
T23 |
190834 |
34 |
0 |
0 |
T27 |
0 |
25 |
0 |
0 |
T43 |
0 |
8821 |
0 |
0 |
T65 |
0 |
9820 |
0 |
0 |
GntImpliesValid_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
2147483647 |
70993472 |
0 |
0 |
T1 |
15496 |
128 |
0 |
0 |
T2 |
64050 |
556 |
0 |
0 |
T3 |
15318 |
197 |
0 |
0 |
T7 |
13590 |
130 |
0 |
0 |
T13 |
7950 |
129 |
0 |
0 |
T14 |
331410 |
1694 |
0 |
0 |
T15 |
579342 |
908 |
0 |
0 |
T16 |
0 |
55 |
0 |
0 |
T17 |
0 |
575 |
0 |
0 |
T20 |
8838 |
494 |
0 |
0 |
T21 |
11532 |
197 |
0 |
0 |
T22 |
1074012 |
10144 |
0 |
0 |
T23 |
190834 |
34 |
0 |
0 |
T27 |
0 |
25 |
0 |
0 |
T43 |
0 |
8821 |
0 |
0 |
T65 |
0 |
9820 |
0 |
0 |
GrantKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
2147483647 |
2147483647 |
0 |
0 |
T1 |
23244 |
22782 |
0 |
0 |
T2 |
64050 |
63528 |
0 |
0 |
T3 |
15318 |
14748 |
0 |
0 |
T7 |
13590 |
13038 |
0 |
0 |
T13 |
7950 |
7392 |
0 |
0 |
T14 |
331410 |
330876 |
0 |
0 |
T15 |
579342 |
578784 |
0 |
0 |
T20 |
8838 |
8460 |
0 |
0 |
T21 |
11532 |
11160 |
0 |
0 |
T22 |
1074012 |
1073628 |
0 |
0 |
IdxKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
2147483647 |
2147483647 |
0 |
0 |
T1 |
23244 |
22782 |
0 |
0 |
T2 |
64050 |
63528 |
0 |
0 |
T3 |
15318 |
14748 |
0 |
0 |
T7 |
13590 |
13038 |
0 |
0 |
T13 |
7950 |
7392 |
0 |
0 |
T14 |
331410 |
330876 |
0 |
0 |
T15 |
579342 |
578784 |
0 |
0 |
T20 |
8838 |
8460 |
0 |
0 |
T21 |
11532 |
11160 |
0 |
0 |
T22 |
1074012 |
1073628 |
0 |
0 |
IndexIsCorrect_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
2147483647 |
70993472 |
0 |
0 |
T1 |
15496 |
128 |
0 |
0 |
T2 |
64050 |
556 |
0 |
0 |
T3 |
15318 |
197 |
0 |
0 |
T7 |
13590 |
130 |
0 |
0 |
T13 |
7950 |
129 |
0 |
0 |
T14 |
331410 |
1694 |
0 |
0 |
T15 |
579342 |
908 |
0 |
0 |
T16 |
0 |
55 |
0 |
0 |
T17 |
0 |
575 |
0 |
0 |
T20 |
8838 |
494 |
0 |
0 |
T21 |
11532 |
197 |
0 |
0 |
T22 |
1074012 |
10144 |
0 |
0 |
T23 |
190834 |
34 |
0 |
0 |
T27 |
0 |
25 |
0 |
0 |
T43 |
0 |
8821 |
0 |
0 |
T65 |
0 |
9820 |
0 |
0 |
LockArbDecision_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
2147483647 |
66062814 |
0 |
0 |
T1 |
15496 |
128 |
0 |
0 |
T2 |
42700 |
128 |
0 |
0 |
T3 |
10212 |
128 |
0 |
0 |
T7 |
9060 |
128 |
0 |
0 |
T13 |
5300 |
128 |
0 |
0 |
T14 |
220940 |
1224 |
0 |
0 |
T15 |
386228 |
128 |
0 |
0 |
T20 |
5892 |
424 |
0 |
0 |
T21 |
7688 |
128 |
0 |
0 |
T22 |
716008 |
10144 |
0 |
0 |
NoReadyValidNoGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
2147483647 |
1980309602 |
0 |
0 |
T1 |
23244 |
22494 |
0 |
0 |
T2 |
64050 |
43969 |
0 |
0 |
T3 |
15318 |
12456 |
0 |
0 |
T7 |
13590 |
11496 |
0 |
0 |
T13 |
7950 |
6278 |
0 |
0 |
T14 |
331410 |
226183 |
0 |
0 |
T15 |
579342 |
421030 |
0 |
0 |
T20 |
8838 |
6613 |
0 |
0 |
T21 |
11532 |
9461 |
0 |
0 |
T22 |
1074012 |
1053308 |
0 |
0 |
ReadyAndValidImplyGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
2147483647 |
70993472 |
0 |
0 |
T1 |
15496 |
128 |
0 |
0 |
T2 |
64050 |
556 |
0 |
0 |
T3 |
15318 |
197 |
0 |
0 |
T7 |
13590 |
130 |
0 |
0 |
T13 |
7950 |
129 |
0 |
0 |
T14 |
331410 |
1694 |
0 |
0 |
T15 |
579342 |
908 |
0 |
0 |
T16 |
0 |
55 |
0 |
0 |
T17 |
0 |
575 |
0 |
0 |
T20 |
8838 |
494 |
0 |
0 |
T21 |
11532 |
197 |
0 |
0 |
T22 |
1074012 |
10144 |
0 |
0 |
T23 |
190834 |
34 |
0 |
0 |
T27 |
0 |
25 |
0 |
0 |
T43 |
0 |
8821 |
0 |
0 |
T65 |
0 |
9820 |
0 |
0 |
ReqAndReadyImplyGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
2147483647 |
70993472 |
0 |
0 |
T1 |
15496 |
128 |
0 |
0 |
T2 |
64050 |
556 |
0 |
0 |
T3 |
15318 |
197 |
0 |
0 |
T7 |
13590 |
130 |
0 |
0 |
T13 |
7950 |
129 |
0 |
0 |
T14 |
331410 |
1694 |
0 |
0 |
T15 |
579342 |
908 |
0 |
0 |
T16 |
0 |
55 |
0 |
0 |
T17 |
0 |
575 |
0 |
0 |
T20 |
8838 |
494 |
0 |
0 |
T21 |
11532 |
197 |
0 |
0 |
T22 |
1074012 |
10144 |
0 |
0 |
T23 |
190834 |
34 |
0 |
0 |
T27 |
0 |
25 |
0 |
0 |
T43 |
0 |
8821 |
0 |
0 |
T65 |
0 |
9820 |
0 |
0 |
ReqImpliesValid_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
2147483647 |
326135175 |
0 |
0 |
T1 |
15496 |
256 |
0 |
0 |
T2 |
64050 |
19519 |
0 |
0 |
T3 |
15318 |
2256 |
0 |
0 |
T7 |
13590 |
1502 |
0 |
0 |
T13 |
7950 |
1078 |
0 |
0 |
T14 |
331410 |
104653 |
0 |
0 |
T15 |
579342 |
157314 |
0 |
0 |
T16 |
0 |
4217 |
0 |
0 |
T17 |
0 |
151159 |
0 |
0 |
T20 |
8838 |
1811 |
0 |
0 |
T21 |
11532 |
1663 |
0 |
0 |
T22 |
1074012 |
20288 |
0 |
0 |
T23 |
190834 |
154756 |
0 |
0 |
T27 |
0 |
4998 |
0 |
0 |
T43 |
0 |
56027 |
0 |
0 |
T65 |
0 |
54448 |
0 |
0 |
ReqStaysHighUntilGranted0_M
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
2147483647 |
66062424 |
0 |
0 |
T1 |
15496 |
128 |
0 |
0 |
T2 |
42700 |
128 |
0 |
0 |
T3 |
10212 |
128 |
0 |
0 |
T7 |
9060 |
128 |
0 |
0 |
T13 |
5300 |
128 |
0 |
0 |
T14 |
220940 |
1224 |
0 |
0 |
T15 |
386228 |
128 |
0 |
0 |
T20 |
5892 |
424 |
0 |
0 |
T21 |
7688 |
128 |
0 |
0 |
T22 |
716008 |
10144 |
0 |
0 |
RoundRobin_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
2147483647 |
0 |
0 |
6210 |
ValidKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
2147483647 |
2147483647 |
0 |
0 |
T1 |
23244 |
22782 |
0 |
0 |
T2 |
64050 |
63528 |
0 |
0 |
T3 |
15318 |
14748 |
0 |
0 |
T7 |
13590 |
13038 |
0 |
0 |
T13 |
7950 |
7392 |
0 |
0 |
T14 |
331410 |
330876 |
0 |
0 |
T15 |
579342 |
578784 |
0 |
0 |
T20 |
8838 |
8460 |
0 |
0 |
T21 |
11532 |
11160 |
0 |
0 |
T22 |
1074012 |
1073628 |
0 |
0 |
gen_data_port_assertion.DataFlow_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
1547907552 |
66062850 |
0 |
0 |
T1 |
15496 |
128 |
0 |
0 |
T2 |
42700 |
128 |
0 |
0 |
T3 |
10212 |
128 |
0 |
0 |
T7 |
9060 |
128 |
0 |
0 |
T13 |
5300 |
128 |
0 |
0 |
T14 |
220940 |
1224 |
0 |
0 |
T15 |
386228 |
128 |
0 |
0 |
T20 |
5892 |
424 |
0 |
0 |
T21 |
7688 |
128 |
0 |
0 |
T22 |
716008 |
10144 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd.u_valid_random
| Line No. | Total | Covered | Percent |
TOTAL | | 52 | 48 | 92.31 |
CONT_ASSIGN | 62 | 0 | 0 | |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 122 | 1 | 0 | 0.00 |
CONT_ASSIGN | 122 | 1 | 0 | 0.00 |
CONT_ASSIGN | 122 | 1 | 0 | 0.00 |
CONT_ASSIGN | 122 | 1 | 0 | 0.00 |
CONT_ASSIGN | 126 | 1 | 1 | 100.00 |
CONT_ASSIGN | 126 | 1 | 1 | 100.00 |
CONT_ASSIGN | 126 | 1 | 1 | 100.00 |
CONT_ASSIGN | 126 | 1 | 1 | 100.00 |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 148 | 1 | 1 | 100.00 |
CONT_ASSIGN | 148 | 1 | 1 | 100.00 |
CONT_ASSIGN | 148 | 1 | 1 | 100.00 |
CONT_ASSIGN | 150 | 1 | 1 | 100.00 |
CONT_ASSIGN | 150 | 1 | 1 | 100.00 |
CONT_ASSIGN | 150 | 1 | 1 | 100.00 |
CONT_ASSIGN | 151 | 1 | 1 | 100.00 |
CONT_ASSIGN | 151 | 1 | 1 | 100.00 |
CONT_ASSIGN | 151 | 1 | 1 | 100.00 |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 156 | 1 | 1 | 100.00 |
CONT_ASSIGN | 156 | 1 | 1 | 100.00 |
CONT_ASSIGN | 156 | 1 | 1 | 100.00 |
CONT_ASSIGN | 160 | 1 | 1 | 100.00 |
CONT_ASSIGN | 160 | 1 | 1 | 100.00 |
CONT_ASSIGN | 160 | 1 | 1 | 100.00 |
CONT_ASSIGN | 161 | 1 | 1 | 100.00 |
CONT_ASSIGN | 161 | 1 | 1 | 100.00 |
CONT_ASSIGN | 161 | 1 | 1 | 100.00 |
CONT_ASSIGN | 163 | 0 | 0 | |
CONT_ASSIGN | 163 | 0 | 0 | |
CONT_ASSIGN | 163 | 1 | 1 | 100.00 |
CONT_ASSIGN | 164 | 1 | 1 | 100.00 |
CONT_ASSIGN | 164 | 1 | 1 | 100.00 |
CONT_ASSIGN | 164 | 1 | 1 | 100.00 |
CONT_ASSIGN | 174 | 1 | 1 | 100.00 |
CONT_ASSIGN | 180 | 1 | 1 | 100.00 |
CONT_ASSIGN | 182 | 1 | 1 | 100.00 |
CONT_ASSIGN | 183 | 1 | 1 | 100.00 |
ALWAYS | 191 | 3 | 3 | 100.00 |
61 logic unused_req_chk;
62 unreachable assign unused_req_chk = req_chk_i;
63
64 `ASSERT_INIT(CheckNGreaterZero_A, N > 0)
65
66 // this case is basically just a bypass
67 if (N == 1) begin : gen_degenerate_case
68
69 assign valid_o = req_i[0];
70 assign data_o = data_i[0];
71 assign gnt_o[0] = valid_o & ready_i;
72 assign idx_o = '0;
73
74 end else begin : gen_normal_case
75
76 // align to powers of 2 for simplicity
77 // a full binary tree with N levels has 2**N + 2**N-1 nodes
78 logic [2**(IdxW+1)-2:0] req_tree;
79 logic [2**(IdxW+1)-2:0] prio_tree;
80 logic [2**(IdxW+1)-2:0] sel_tree;
81 logic [2**(IdxW+1)-2:0] mask_tree;
82 logic [2**(IdxW+1)-2:0][IdxW-1:0] idx_tree;
83 logic [2**(IdxW+1)-2:0][DW-1:0] data_tree;
84 logic [N-1:0] prio_mask_d, prio_mask_q;
85
86 for (genvar level = 0; level < IdxW+1; level++) begin : gen_tree
87 //
88 // level+1 C0 C1 <- "Base1" points to the first node on "level+1",
89 // \ / these nodes are the children of the nodes one level below
90 // level Pa <- "Base0", points to the first node on "level",
91 // these nodes are the parents of the nodes one level above
92 //
93 // hence we have the following indices for the Pa, C0, C1 nodes:
94 // Pa = 2**level - 1 + offset = Base0 + offset
95 // C0 = 2**(level+1) - 1 + 2*offset = Base1 + 2*offset
96 // C1 = 2**(level+1) - 1 + 2*offset + 1 = Base1 + 2*offset + 1
97 //
98 localparam int Base0 = (2**level)-1;
99 localparam int Base1 = (2**(level+1))-1;
100
101 for (genvar offset = 0; offset < 2**level; offset++) begin : gen_level
102 localparam int Pa = Base0 + offset;
103 localparam int C0 = Base1 + 2*offset;
104 localparam int C1 = Base1 + 2*offset + 1;
105
106 // this assigns the gated interrupt source signals, their
107 // corresponding IDs and priorities to the tree leafs
108 if (level == IdxW) begin : gen_leafs
109 if (offset < N) begin : gen_assign
110 // forward path (requests and data)
111 // all requests inputs are assigned to the request tree
112 4/4 assign req_tree[Pa] = req_i[offset];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3
113 // we basically split the incoming request vector into two halves with the following
114 // priority assignment. the prio_mask_q register contains a prefix sum that has been
115 // computed using the last winning index, and hence masks out all requests at offsets
116 // lower or equal the previously granted index. hence, all higher indices are considered
117 // first in the arbitration tree nodes below, before considering the lower indices.
118 4/4 assign prio_tree[Pa] = req_i[offset] & prio_mask_q[offset];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3
119 // input for the index muxes (used to compute the winner index)
120 assign idx_tree[Pa] = offset;
121 // input for the data muxes
122 0/4 ==> assign data_tree[Pa] = data_i[offset];
123
124 // backward path (grants and prefix sum)
125 // grant if selected, ready and request asserted
126 4/4 assign gnt_o[offset] = req_i[offset] & sel_tree[Pa] & ready_i;
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3
127 // only update mask if there is a valid request
128 4/4 assign prio_mask_d[offset] = (|req_i) ?
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3
129 mask_tree[Pa] | sel_tree[Pa] & ~ready_i :
130 prio_mask_q[offset];
131 end else begin : gen_tie_off
132 // forward path
133 assign req_tree[Pa] = '0;
134 assign prio_tree[Pa] = '0;
135 assign idx_tree[Pa] = '0;
136 assign data_tree[Pa] = '0;
137 logic unused_sigs;
138 assign unused_sigs = ^{mask_tree[Pa],
139 sel_tree[Pa]};
140 end
141 // this creates the node assignments
142 end else begin : gen_nodes
143 // local helper variable
144 logic sel;
145
146 // forward path (requests and data)
147 // each node looks at its two children, and selects the one with higher priority
148 3/3 assign sel = ~req_tree[C0] | ~prio_tree[C0] & prio_tree[C1];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
149 // propagate requests
150 3/3 assign req_tree[Pa] = req_tree[C0] | req_tree[C1];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
151 3/3 assign prio_tree[Pa] = prio_tree[C1] | prio_tree[C0];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
152 // data and index muxes
153 // Note: these ternaries have triggered a synthesis bug in Vivado versions older
154 // than 2020.2. If the problem resurfaces again, have a look at issue #1408.
155 3/3 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
156 3/3 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
157
158 // backward path (grants and prefix sum)
159 // this propagates the selction index back and computes a hot one mask
160 3/3 assign sel_tree[C0] = sel_tree[Pa] & ~sel;
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
161 3/3 assign sel_tree[C1] = sel_tree[Pa] & sel;
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
162 // this performs a prefix sum for masking the input requests in the next cycle
163 1/1(2 unreachable) assign mask_tree[C0] = mask_tree[Pa];
Tests: T1 T2 T3
164 3/3 assign mask_tree[C1] = mask_tree[Pa] | sel_tree[C0];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
165 end
166 end : gen_level
167 end : gen_tree
168
169 // the results can be found at the tree root
170 if (EnDataPort) begin : gen_data_port
171 assign data_o = data_tree[0];
172 end else begin : gen_no_dataport
173 logic [DW-1:0] unused_data;
174 1/1 assign unused_data = data_tree[0];
Tests: T1 T2 T3
175 assign data_o = '1;
176 end
177
178 // This index is unused.
179 logic unused_prio_tree;
180 1/1 assign unused_prio_tree = prio_tree[0];
Tests: T1 T2 T3
181
182 1/1 assign idx_o = idx_tree[0];
Tests: T1 T2 T3
183 1/1 assign valid_o = req_tree[0];
Tests: T1 T2 T3
184
185 // the select tree computes a hot one signal that indicates which request is currently selected
186 assign sel_tree[0] = 1'b1;
187 // the mask tree is basically a prefix sum of the hot one select signal computed above
188 assign mask_tree[0] = 1'b0;
189
190 always_ff @(posedge clk_i or negedge rst_ni) begin : p_mask_reg
191 1/1 if (!rst_ni) begin
Tests: T1 T2 T3
192 1/1 prio_mask_q <= '0;
Tests: T1 T2 T3
193 end else begin
194 1/1 prio_mask_q <= prio_mask_d;
Tests: T1 T2 T3
Cond Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd.u_valid_random
| Total | Covered | Percent |
Conditions | 130 | 127 | 97.69 |
Logical | 130 | 127 | 97.69 |
Non-Logical | 0 | 0 | |
Event | 0 | 0 | |
LINE 118
EXPRESSION (req_i[0] & gen_normal_case.prio_mask_q[0])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T15,T16,T64 |
1 | 0 | Covered | T2,T3,T7 |
1 | 1 | Covered | T2,T3,T7 |
LINE 118
EXPRESSION (req_i[1] & gen_normal_case.prio_mask_q[1])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T7,T15,T16 |
1 | 0 | Covered | T2,T3,T7 |
1 | 1 | Covered | T2,T3,T7 |
LINE 118
EXPRESSION (req_i[2] & gen_normal_case.prio_mask_q[2])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T7,T15,T16 |
1 | 0 | Covered | T2,T3,T7 |
1 | 1 | Covered | T2,T3,T7 |
LINE 118
EXPRESSION (req_i[3] & gen_normal_case.prio_mask_q[3])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T61,T172,T173 |
1 | 1 | Covered | T2,T3,T7 |
LINE 126
EXPRESSION (req_i[0] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[0].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Not Covered | |
1 | 0 | 1 | Covered | T2,T3,T7 |
1 | 1 | 0 | Covered | T2,T3,T7 |
1 | 1 | 1 | Covered | T2,T3,T7 |
LINE 126
EXPRESSION (req_i[1] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[1].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Not Covered | |
1 | 0 | 1 | Covered | T2,T3,T7 |
1 | 1 | 0 | Covered | T2,T3,T7 |
1 | 1 | 1 | Covered | T2,T3,T7 |
LINE 126
EXPRESSION (req_i[2] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[2].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Not Covered | |
1 | 0 | 1 | Covered | T2,T3,T7 |
1 | 1 | 0 | Covered | T2,T3,T7 |
1 | 1 | 1 | Covered | T2,T3,T21 |
LINE 126
EXPRESSION (req_i[3] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[3].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Covered | T1,T2,T3 |
1 | 0 | 1 | Covered | T2,T3,T7 |
1 | 1 | 0 | Covered | T2,T3,T21 |
1 | 1 | 1 | Covered | T2,T3,T21 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[0].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[0].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T2,T3,T7 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[0].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[0].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T3,T7 |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Unreachable | |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[0].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T2,T3,T7 |
1 | 1 | Covered | T2,T3,T7 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[1].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[1].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[1])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T2,T3,T7 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[1].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[1].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T3,T7 |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T2,T3,T7 |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[1].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T2,T3,T7 |
1 | 1 | Covered | T2,T3,T7 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[2].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[2].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[2])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T2,T3,T7 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[2].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[2].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T3,T21 |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T2,T3,T7 |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[2].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T2,T3,T21 |
1 | 1 | Covered | T2,T3,T7 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[3].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[3].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[3])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T2,T3,T7 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[3].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[3].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T3,T21 |
0 | 1 | Covered | T2,T3,T21 |
1 | 0 | Covered | T2,T3,T7 |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[3].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T2,T3,T21 |
1 | 1 | Covered | T2,T3,T21 |
LINE 148
EXPRESSION
Number Term
1 ((~gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) |
2 (((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) & gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1]))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T3,T7 |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T1,T2,T3 |
LINE 148
SUB-EXPRESSION
Number Term
1 ((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) &
2 gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1])
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T2,T3,T7 |
LINE 148
EXPRESSION
Number Term
1 ((~gen_normal_case.req_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])) |
2 (((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])) & gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[0].C1]))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T3,T7 |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T1,T2,T3 |
LINE 148
SUB-EXPRESSION
Number Term
1 ((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])) &
2 gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[0].C1])
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T2,T3,T7 |
LINE 148
EXPRESSION
Number Term
1 ((~gen_normal_case.req_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])) |
2 (((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])) & gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[1].C1]))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T3,T7 |
0 | 1 | Covered | T2,T3,T21 |
1 | 0 | Covered | T1,T2,T3 |
LINE 148
SUB-EXPRESSION
Number Term
1 ((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])) &
2 gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[1].C1])
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T2,T3,T21 |
LINE 150
EXPRESSION (gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C0] | gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C1])
----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T43,T58,T61 |
1 | 0 | Covered | T17,T43,T58 |
LINE 150
EXPRESSION (gen_normal_case.req_tree[gen_normal_case.gen_tree[1].gen_level[0].C0] | gen_normal_case.req_tree[gen_normal_case.gen_tree[1].gen_level[0].C1])
----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T2,T3,T7 |
LINE 150
EXPRESSION (gen_normal_case.req_tree[gen_normal_case.gen_tree[1].gen_level[1].C0] | gen_normal_case.req_tree[gen_normal_case.gen_tree[1].gen_level[1].C1])
----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T2,T3,T21 |
1 | 0 | Covered | T2,T3,T7 |
LINE 151
EXPRESSION (gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] | gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-----------------------------------1---------------------------------- -----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T17,T43,T58 |
1 | 0 | Covered | T2,T3,T7 |
LINE 151
EXPRESSION (gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[0].C1] | gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])
-----------------------------------1---------------------------------- -----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T43,T65,T27 |
1 | 0 | Covered | T2,T3,T7 |
LINE 151
EXPRESSION (gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[1].C1] | gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])
-----------------------------------1---------------------------------- -----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T2,T3,T21 |
LINE 155
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel ? gen_normal_case.idx_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] : gen_normal_case.idx_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T2,T3,T7 |
1 | Covered | T1,T2,T3 |
LINE 155
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[1].gen_level[0].gen_nodes.sel ? gen_normal_case.idx_tree[gen_normal_case.gen_tree[1].gen_level[0].C1] : gen_normal_case.idx_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T2,T3,T7 |
1 | Covered | T1,T2,T3 |
LINE 155
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[1].gen_level[1].gen_nodes.sel ? gen_normal_case.idx_tree[gen_normal_case.gen_tree[1].gen_level[1].C1] : gen_normal_case.idx_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])
-1- | Status | Tests |
0 | Covered | T2,T3,T7 |
1 | Covered | T1,T2,T3 |
LINE 156
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel ? gen_normal_case.data_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] : gen_normal_case.data_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T2,T3,T7 |
1 | Covered | T1,T2,T3 |
LINE 156
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[1].gen_level[0].gen_nodes.sel ? gen_normal_case.data_tree[gen_normal_case.gen_tree[1].gen_level[0].C1] : gen_normal_case.data_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T2,T3,T7 |
1 | Covered | T1,T2,T3 |
LINE 156
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[1].gen_level[1].gen_nodes.sel ? gen_normal_case.data_tree[gen_normal_case.gen_tree[1].gen_level[1].C1] : gen_normal_case.data_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])
-1- | Status | Tests |
0 | Covered | T2,T3,T7 |
1 | Covered | T1,T2,T3 |
LINE 160
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] & ((~gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel)))
----------------------------------1---------------------------------- -----------------------------2-----------------------------
-1- | -2- | Status | Tests |
0 | 1 | Unreachable | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T2,T3,T7 |
LINE 160
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~gen_normal_case.gen_tree[1].gen_level[0].gen_nodes.sel)))
----------------------------------1---------------------------------- -----------------------------2-----------------------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T2,T3,T7 |
1 | 1 | Covered | T2,T3,T7 |
LINE 160
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~gen_normal_case.gen_tree[1].gen_level[1].gen_nodes.sel)))
----------------------------------1---------------------------------- -----------------------------2-----------------------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T2,T3,T7 |
LINE 161
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] & gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel)
----------------------------------1---------------------------------- ---------------------------2--------------------------
-1- | -2- | Status | Tests |
0 | 1 | Unreachable | |
1 | 0 | Covered | T2,T3,T7 |
1 | 1 | Covered | T1,T2,T3 |
LINE 161
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & gen_normal_case.gen_tree[1].gen_level[0].gen_nodes.sel)
----------------------------------1---------------------------------- ---------------------------2--------------------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T2,T3,T7 |
1 | 1 | Covered | T2,T3,T7 |
LINE 161
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & gen_normal_case.gen_tree[1].gen_level[1].gen_nodes.sel)
----------------------------------1---------------------------------- ---------------------------2--------------------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T17,T43,T65 |
1 | 0 | Covered | T2,T3,T7 |
1 | 1 | Covered | T1,T2,T3 |
LINE 164
EXPRESSION (gen_normal_case.mask_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] | gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Unreachable | |
LINE 164
EXPRESSION (gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] | gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])
-----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Unreachable | |
LINE 164
EXPRESSION (gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] | gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])
-----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T2,T3,T7 |
1 | 0 | Covered | T2,T3,T7 |
Branch Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd.u_valid_random
| Line No. | Total | Covered | Percent |
Branches |
|
22 |
22 |
100.00 |
TERNARY |
155 |
2 |
2 |
100.00 |
TERNARY |
156 |
2 |
2 |
100.00 |
TERNARY |
155 |
2 |
2 |
100.00 |
TERNARY |
156 |
2 |
2 |
100.00 |
TERNARY |
155 |
2 |
2 |
100.00 |
TERNARY |
156 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
IF |
191 |
2 |
2 |
100.00 |
155 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T3,T7 |
156 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T3,T7 |
155 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T3,T7 |
156 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T3,T7 |
155 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T3,T7 |
156 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T3,T7 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T2,T3,T7 |
0 |
Covered |
T1,T2,T3 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T2,T3,T7 |
0 |
Covered |
T1,T2,T3 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T2,T3,T7 |
0 |
Covered |
T1,T2,T3 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T2,T3,T7 |
0 |
Covered |
T1,T2,T3 |
191 if (!rst_ni) begin
-1-
192 prio_mask_q <= '0;
==>
193 end else begin
194 prio_mask_q <= prio_mask_d;
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
Assert Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd.u_valid_random
Assertion Details
CheckHotOne_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
CheckNGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
1040 |
1040 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T13 |
1 |
1 |
0 |
0 |
T14 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T20 |
1 |
1 |
0 |
0 |
T21 |
1 |
1 |
0 |
0 |
T22 |
1 |
1 |
0 |
0 |
GntImpliesReady_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
2493512 |
0 |
0 |
T2 |
10675 |
254 |
0 |
0 |
T3 |
2553 |
69 |
0 |
0 |
T7 |
2265 |
2 |
0 |
0 |
T13 |
1325 |
1 |
0 |
0 |
T14 |
55235 |
314 |
0 |
0 |
T15 |
96557 |
334 |
0 |
0 |
T16 |
0 |
36 |
0 |
0 |
T17 |
0 |
277 |
0 |
0 |
T20 |
1473 |
0 |
0 |
0 |
T21 |
1922 |
69 |
0 |
0 |
T22 |
179002 |
0 |
0 |
0 |
T23 |
95417 |
21 |
0 |
0 |
GntImpliesValid_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
2493512 |
0 |
0 |
T2 |
10675 |
254 |
0 |
0 |
T3 |
2553 |
69 |
0 |
0 |
T7 |
2265 |
2 |
0 |
0 |
T13 |
1325 |
1 |
0 |
0 |
T14 |
55235 |
314 |
0 |
0 |
T15 |
96557 |
334 |
0 |
0 |
T16 |
0 |
36 |
0 |
0 |
T17 |
0 |
277 |
0 |
0 |
T20 |
1473 |
0 |
0 |
0 |
T21 |
1922 |
69 |
0 |
0 |
T22 |
179002 |
0 |
0 |
0 |
T23 |
95417 |
21 |
0 |
0 |
GrantKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
IdxKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
IndexIsCorrect_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
2493512 |
0 |
0 |
T2 |
10675 |
254 |
0 |
0 |
T3 |
2553 |
69 |
0 |
0 |
T7 |
2265 |
2 |
0 |
0 |
T13 |
1325 |
1 |
0 |
0 |
T14 |
55235 |
314 |
0 |
0 |
T15 |
96557 |
334 |
0 |
0 |
T16 |
0 |
36 |
0 |
0 |
T17 |
0 |
277 |
0 |
0 |
T20 |
1473 |
0 |
0 |
0 |
T21 |
1922 |
69 |
0 |
0 |
T22 |
179002 |
0 |
0 |
0 |
T23 |
95417 |
21 |
0 |
0 |
LockArbDecision_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
0 |
0 |
0 |
NoReadyValidNoGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
282656833 |
0 |
0 |
T1 |
3874 |
3765 |
0 |
0 |
T2 |
10675 |
1467 |
0 |
0 |
T3 |
2553 |
422 |
0 |
0 |
T7 |
2265 |
887 |
0 |
0 |
T13 |
1325 |
374 |
0 |
0 |
T14 |
55235 |
2688 |
0 |
0 |
T15 |
96557 |
14047 |
0 |
0 |
T20 |
1473 |
1378 |
0 |
0 |
T21 |
1922 |
417 |
0 |
0 |
T22 |
179002 |
178906 |
0 |
0 |
ReadyAndValidImplyGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
2493512 |
0 |
0 |
T2 |
10675 |
254 |
0 |
0 |
T3 |
2553 |
69 |
0 |
0 |
T7 |
2265 |
2 |
0 |
0 |
T13 |
1325 |
1 |
0 |
0 |
T14 |
55235 |
314 |
0 |
0 |
T15 |
96557 |
334 |
0 |
0 |
T16 |
0 |
36 |
0 |
0 |
T17 |
0 |
277 |
0 |
0 |
T20 |
1473 |
0 |
0 |
0 |
T21 |
1922 |
69 |
0 |
0 |
T22 |
179002 |
0 |
0 |
0 |
T23 |
95417 |
21 |
0 |
0 |
ReqAndReadyImplyGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
2493512 |
0 |
0 |
T2 |
10675 |
254 |
0 |
0 |
T3 |
2553 |
69 |
0 |
0 |
T7 |
2265 |
2 |
0 |
0 |
T13 |
1325 |
1 |
0 |
0 |
T14 |
55235 |
314 |
0 |
0 |
T15 |
96557 |
334 |
0 |
0 |
T16 |
0 |
36 |
0 |
0 |
T17 |
0 |
277 |
0 |
0 |
T20 |
1473 |
0 |
0 |
0 |
T21 |
1922 |
69 |
0 |
0 |
T22 |
179002 |
0 |
0 |
0 |
T23 |
95417 |
21 |
0 |
0 |
ReqImpliesValid_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
97823631 |
0 |
0 |
T2 |
10675 |
9085 |
0 |
0 |
T3 |
2553 |
2000 |
0 |
0 |
T7 |
2265 |
1246 |
0 |
0 |
T13 |
1325 |
822 |
0 |
0 |
T14 |
55235 |
52422 |
0 |
0 |
T15 |
96557 |
82205 |
0 |
0 |
T16 |
0 |
1716 |
0 |
0 |
T17 |
0 |
75585 |
0 |
0 |
T20 |
1473 |
0 |
0 |
0 |
T21 |
1922 |
1407 |
0 |
0 |
T22 |
179002 |
0 |
0 |
0 |
T23 |
95417 |
74928 |
0 |
0 |
ReqStaysHighUntilGranted0_M
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
0 |
0 |
0 |
RoundRobin_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
0 |
0 |
1035 |
ValidKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd.u_valid_random
| Line No. | Total | Covered | Percent |
TOTAL | | 52 | 48 | 92.31 |
CONT_ASSIGN | 62 | 0 | 0 | |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 122 | 1 | 0 | 0.00 |
CONT_ASSIGN | 122 | 1 | 0 | 0.00 |
CONT_ASSIGN | 122 | 1 | 0 | 0.00 |
CONT_ASSIGN | 122 | 1 | 0 | 0.00 |
CONT_ASSIGN | 126 | 1 | 1 | 100.00 |
CONT_ASSIGN | 126 | 1 | 1 | 100.00 |
CONT_ASSIGN | 126 | 1 | 1 | 100.00 |
CONT_ASSIGN | 126 | 1 | 1 | 100.00 |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 148 | 1 | 1 | 100.00 |
CONT_ASSIGN | 148 | 1 | 1 | 100.00 |
CONT_ASSIGN | 148 | 1 | 1 | 100.00 |
CONT_ASSIGN | 150 | 1 | 1 | 100.00 |
CONT_ASSIGN | 150 | 1 | 1 | 100.00 |
CONT_ASSIGN | 150 | 1 | 1 | 100.00 |
CONT_ASSIGN | 151 | 1 | 1 | 100.00 |
CONT_ASSIGN | 151 | 1 | 1 | 100.00 |
CONT_ASSIGN | 151 | 1 | 1 | 100.00 |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 156 | 1 | 1 | 100.00 |
CONT_ASSIGN | 156 | 1 | 1 | 100.00 |
CONT_ASSIGN | 156 | 1 | 1 | 100.00 |
CONT_ASSIGN | 160 | 1 | 1 | 100.00 |
CONT_ASSIGN | 160 | 1 | 1 | 100.00 |
CONT_ASSIGN | 160 | 1 | 1 | 100.00 |
CONT_ASSIGN | 161 | 1 | 1 | 100.00 |
CONT_ASSIGN | 161 | 1 | 1 | 100.00 |
CONT_ASSIGN | 161 | 1 | 1 | 100.00 |
CONT_ASSIGN | 163 | 0 | 0 | |
CONT_ASSIGN | 163 | 0 | 0 | |
CONT_ASSIGN | 163 | 1 | 1 | 100.00 |
CONT_ASSIGN | 164 | 1 | 1 | 100.00 |
CONT_ASSIGN | 164 | 1 | 1 | 100.00 |
CONT_ASSIGN | 164 | 1 | 1 | 100.00 |
CONT_ASSIGN | 174 | 1 | 1 | 100.00 |
CONT_ASSIGN | 180 | 1 | 1 | 100.00 |
CONT_ASSIGN | 182 | 1 | 1 | 100.00 |
CONT_ASSIGN | 183 | 1 | 1 | 100.00 |
ALWAYS | 191 | 3 | 3 | 100.00 |
61 logic unused_req_chk;
62 unreachable assign unused_req_chk = req_chk_i;
63
64 `ASSERT_INIT(CheckNGreaterZero_A, N > 0)
65
66 // this case is basically just a bypass
67 if (N == 1) begin : gen_degenerate_case
68
69 assign valid_o = req_i[0];
70 assign data_o = data_i[0];
71 assign gnt_o[0] = valid_o & ready_i;
72 assign idx_o = '0;
73
74 end else begin : gen_normal_case
75
76 // align to powers of 2 for simplicity
77 // a full binary tree with N levels has 2**N + 2**N-1 nodes
78 logic [2**(IdxW+1)-2:0] req_tree;
79 logic [2**(IdxW+1)-2:0] prio_tree;
80 logic [2**(IdxW+1)-2:0] sel_tree;
81 logic [2**(IdxW+1)-2:0] mask_tree;
82 logic [2**(IdxW+1)-2:0][IdxW-1:0] idx_tree;
83 logic [2**(IdxW+1)-2:0][DW-1:0] data_tree;
84 logic [N-1:0] prio_mask_d, prio_mask_q;
85
86 for (genvar level = 0; level < IdxW+1; level++) begin : gen_tree
87 //
88 // level+1 C0 C1 <- "Base1" points to the first node on "level+1",
89 // \ / these nodes are the children of the nodes one level below
90 // level Pa <- "Base0", points to the first node on "level",
91 // these nodes are the parents of the nodes one level above
92 //
93 // hence we have the following indices for the Pa, C0, C1 nodes:
94 // Pa = 2**level - 1 + offset = Base0 + offset
95 // C0 = 2**(level+1) - 1 + 2*offset = Base1 + 2*offset
96 // C1 = 2**(level+1) - 1 + 2*offset + 1 = Base1 + 2*offset + 1
97 //
98 localparam int Base0 = (2**level)-1;
99 localparam int Base1 = (2**(level+1))-1;
100
101 for (genvar offset = 0; offset < 2**level; offset++) begin : gen_level
102 localparam int Pa = Base0 + offset;
103 localparam int C0 = Base1 + 2*offset;
104 localparam int C1 = Base1 + 2*offset + 1;
105
106 // this assigns the gated interrupt source signals, their
107 // corresponding IDs and priorities to the tree leafs
108 if (level == IdxW) begin : gen_leafs
109 if (offset < N) begin : gen_assign
110 // forward path (requests and data)
111 // all requests inputs are assigned to the request tree
112 4/4 assign req_tree[Pa] = req_i[offset];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3
113 // we basically split the incoming request vector into two halves with the following
114 // priority assignment. the prio_mask_q register contains a prefix sum that has been
115 // computed using the last winning index, and hence masks out all requests at offsets
116 // lower or equal the previously granted index. hence, all higher indices are considered
117 // first in the arbitration tree nodes below, before considering the lower indices.
118 4/4 assign prio_tree[Pa] = req_i[offset] & prio_mask_q[offset];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3
119 // input for the index muxes (used to compute the winner index)
120 assign idx_tree[Pa] = offset;
121 // input for the data muxes
122 0/4 ==> assign data_tree[Pa] = data_i[offset];
123
124 // backward path (grants and prefix sum)
125 // grant if selected, ready and request asserted
126 4/4 assign gnt_o[offset] = req_i[offset] & sel_tree[Pa] & ready_i;
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3
127 // only update mask if there is a valid request
128 4/4 assign prio_mask_d[offset] = (|req_i) ?
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3 | T1 T2 T3
129 mask_tree[Pa] | sel_tree[Pa] & ~ready_i :
130 prio_mask_q[offset];
131 end else begin : gen_tie_off
132 // forward path
133 assign req_tree[Pa] = '0;
134 assign prio_tree[Pa] = '0;
135 assign idx_tree[Pa] = '0;
136 assign data_tree[Pa] = '0;
137 logic unused_sigs;
138 assign unused_sigs = ^{mask_tree[Pa],
139 sel_tree[Pa]};
140 end
141 // this creates the node assignments
142 end else begin : gen_nodes
143 // local helper variable
144 logic sel;
145
146 // forward path (requests and data)
147 // each node looks at its two children, and selects the one with higher priority
148 3/3 assign sel = ~req_tree[C0] | ~prio_tree[C0] & prio_tree[C1];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
149 // propagate requests
150 3/3 assign req_tree[Pa] = req_tree[C0] | req_tree[C1];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
151 3/3 assign prio_tree[Pa] = prio_tree[C1] | prio_tree[C0];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
152 // data and index muxes
153 // Note: these ternaries have triggered a synthesis bug in Vivado versions older
154 // than 2020.2. If the problem resurfaces again, have a look at issue #1408.
155 3/3 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
156 3/3 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
157
158 // backward path (grants and prefix sum)
159 // this propagates the selction index back and computes a hot one mask
160 3/3 assign sel_tree[C0] = sel_tree[Pa] & ~sel;
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
161 3/3 assign sel_tree[C1] = sel_tree[Pa] & sel;
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
162 // this performs a prefix sum for masking the input requests in the next cycle
163 1/1(2 unreachable) assign mask_tree[C0] = mask_tree[Pa];
Tests: T1 T2 T3
164 3/3 assign mask_tree[C1] = mask_tree[Pa] | sel_tree[C0];
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
165 end
166 end : gen_level
167 end : gen_tree
168
169 // the results can be found at the tree root
170 if (EnDataPort) begin : gen_data_port
171 assign data_o = data_tree[0];
172 end else begin : gen_no_dataport
173 logic [DW-1:0] unused_data;
174 1/1 assign unused_data = data_tree[0];
Tests: T1 T2 T3
175 assign data_o = '1;
176 end
177
178 // This index is unused.
179 logic unused_prio_tree;
180 1/1 assign unused_prio_tree = prio_tree[0];
Tests: T1 T2 T3
181
182 1/1 assign idx_o = idx_tree[0];
Tests: T1 T2 T3
183 1/1 assign valid_o = req_tree[0];
Tests: T1 T2 T3
184
185 // the select tree computes a hot one signal that indicates which request is currently selected
186 assign sel_tree[0] = 1'b1;
187 // the mask tree is basically a prefix sum of the hot one select signal computed above
188 assign mask_tree[0] = 1'b0;
189
190 always_ff @(posedge clk_i or negedge rst_ni) begin : p_mask_reg
191 1/1 if (!rst_ni) begin
Tests: T1 T2 T3
192 1/1 prio_mask_q <= '0;
Tests: T1 T2 T3
193 end else begin
194 1/1 prio_mask_q <= prio_mask_d;
Tests: T1 T2 T3
Cond Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd.u_valid_random
| Total | Covered | Percent |
Conditions | 130 | 127 | 97.69 |
Logical | 130 | 127 | 97.69 |
Non-Logical | 0 | 0 | |
Event | 0 | 0 | |
LINE 118
EXPRESSION (req_i[0] & gen_normal_case.prio_mask_q[0])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T15,T16,T43 |
1 | 0 | Covered | T2,T20,T14 |
1 | 1 | Covered | T2,T20,T14 |
LINE 118
EXPRESSION (req_i[1] & gen_normal_case.prio_mask_q[1])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T15,T16,T43 |
1 | 0 | Covered | T2,T20,T14 |
1 | 1 | Covered | T2,T20,T14 |
LINE 118
EXPRESSION (req_i[2] & gen_normal_case.prio_mask_q[2])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T15,T16,T43 |
1 | 0 | Covered | T2,T20,T14 |
1 | 1 | Covered | T2,T20,T14 |
LINE 118
EXPRESSION (req_i[3] & gen_normal_case.prio_mask_q[3])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Covered | T61,T172,T173 |
1 | 1 | Covered | T2,T20,T14 |
LINE 126
EXPRESSION (req_i[0] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[0].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Not Covered | |
1 | 0 | 1 | Covered | T2,T20,T14 |
1 | 1 | 0 | Covered | T2,T20,T14 |
1 | 1 | 1 | Covered | T2,T20,T14 |
LINE 126
EXPRESSION (req_i[1] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[1].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Not Covered | |
1 | 0 | 1 | Covered | T2,T20,T14 |
1 | 1 | 0 | Covered | T2,T20,T14 |
1 | 1 | 1 | Covered | T2,T20,T14 |
LINE 126
EXPRESSION (req_i[2] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[2].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Not Covered | |
1 | 0 | 1 | Covered | T2,T20,T14 |
1 | 1 | 0 | Covered | T2,T20,T14 |
1 | 1 | 1 | Covered | T2,T20,T14 |
LINE 126
EXPRESSION (req_i[3] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[3].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Covered | T2,T20,T14 |
1 | 0 | 1 | Covered | T2,T20,T14 |
1 | 1 | 0 | Covered | T2,T20,T14 |
1 | 1 | 1 | Covered | T2,T20,T14 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[0].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[0].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T2,T20,T14 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[0].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[0].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T20,T14 |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Unreachable | |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[0].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Covered | T2,T20,T14 |
1 | 1 | Covered | T2,T20,T14 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[1].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[1].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[1])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T2,T20,T14 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[1].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[1].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T20,T14 |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Covered | T2,T20,T14 |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[1].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Covered | T2,T20,T14 |
1 | 1 | Covered | T2,T20,T14 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[2].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[2].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[2])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T2,T20,T14 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[2].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[2].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T20,T14 |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Covered | T2,T20,T14 |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[2].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Covered | T2,T20,T14 |
1 | 1 | Covered | T2,T20,T14 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[3].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[3].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[3])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T2,T20,T14 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[2].gen_level[3].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[3].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T20,T14 |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Covered | T2,T20,T14 |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[2].gen_level[3].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Covered | T2,T20,T14 |
1 | 1 | Covered | T2,T20,T14 |
LINE 148
EXPRESSION
Number Term
1 ((~gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) |
2 (((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) & gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1]))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T20,T14 |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Covered | T1,T2,T3 |
LINE 148
SUB-EXPRESSION
Number Term
1 ((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) &
2 gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1])
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T2,T20,T14 |
LINE 148
EXPRESSION
Number Term
1 ((~gen_normal_case.req_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])) |
2 (((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])) & gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[0].C1]))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T20,T14 |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Covered | T1,T2,T3 |
LINE 148
SUB-EXPRESSION
Number Term
1 ((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])) &
2 gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[0].C1])
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T2,T20,T14 |
LINE 148
EXPRESSION
Number Term
1 ((~gen_normal_case.req_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])) |
2 (((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])) & gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[1].C1]))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T2,T20,T14 |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Covered | T1,T2,T3 |
LINE 148
SUB-EXPRESSION
Number Term
1 ((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])) &
2 gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[1].C1])
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T2,T20,T14 |
LINE 150
EXPRESSION (gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C0] | gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C1])
----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T43,T61,T71 |
1 | 0 | Covered | T43,T61,T71 |
LINE 150
EXPRESSION (gen_normal_case.req_tree[gen_normal_case.gen_tree[1].gen_level[0].C0] | gen_normal_case.req_tree[gen_normal_case.gen_tree[1].gen_level[0].C1])
----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Covered | T2,T20,T14 |
LINE 150
EXPRESSION (gen_normal_case.req_tree[gen_normal_case.gen_tree[1].gen_level[1].C0] | gen_normal_case.req_tree[gen_normal_case.gen_tree[1].gen_level[1].C1])
----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Covered | T2,T20,T14 |
LINE 151
EXPRESSION (gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] | gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-----------------------------------1---------------------------------- -----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T43,T61,T71 |
1 | 0 | Covered | T2,T20,T14 |
LINE 151
EXPRESSION (gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[0].C1] | gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])
-----------------------------------1---------------------------------- -----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T43,T65,T67 |
1 | 0 | Covered | T2,T20,T14 |
LINE 151
EXPRESSION (gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[1].C1] | gen_normal_case.prio_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])
-----------------------------------1---------------------------------- -----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Covered | T2,T20,T14 |
LINE 155
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel ? gen_normal_case.idx_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] : gen_normal_case.idx_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T2,T20,T14 |
1 | Covered | T1,T2,T3 |
LINE 155
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[1].gen_level[0].gen_nodes.sel ? gen_normal_case.idx_tree[gen_normal_case.gen_tree[1].gen_level[0].C1] : gen_normal_case.idx_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T2,T20,T14 |
1 | Covered | T1,T2,T3 |
LINE 155
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[1].gen_level[1].gen_nodes.sel ? gen_normal_case.idx_tree[gen_normal_case.gen_tree[1].gen_level[1].C1] : gen_normal_case.idx_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])
-1- | Status | Tests |
0 | Covered | T2,T20,T14 |
1 | Covered | T1,T2,T3 |
LINE 156
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel ? gen_normal_case.data_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] : gen_normal_case.data_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T2,T20,T14 |
1 | Covered | T1,T2,T3 |
LINE 156
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[1].gen_level[0].gen_nodes.sel ? gen_normal_case.data_tree[gen_normal_case.gen_tree[1].gen_level[0].C1] : gen_normal_case.data_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T2,T20,T14 |
1 | Covered | T1,T2,T3 |
LINE 156
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[1].gen_level[1].gen_nodes.sel ? gen_normal_case.data_tree[gen_normal_case.gen_tree[1].gen_level[1].C1] : gen_normal_case.data_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])
-1- | Status | Tests |
0 | Covered | T2,T20,T14 |
1 | Covered | T1,T2,T3 |
LINE 160
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] & ((~gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel)))
----------------------------------1---------------------------------- -----------------------------2-----------------------------
-1- | -2- | Status | Tests |
0 | 1 | Unreachable | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T2,T20,T14 |
LINE 160
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~gen_normal_case.gen_tree[1].gen_level[0].gen_nodes.sel)))
----------------------------------1---------------------------------- -----------------------------2-----------------------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Covered | T2,T20,T14 |
1 | 1 | Covered | T2,T20,T14 |
LINE 160
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~gen_normal_case.gen_tree[1].gen_level[1].gen_nodes.sel)))
----------------------------------1---------------------------------- -----------------------------2-----------------------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T2,T20,T14 |
LINE 161
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] & gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel)
----------------------------------1---------------------------------- ---------------------------2--------------------------
-1- | -2- | Status | Tests |
0 | 1 | Unreachable | |
1 | 0 | Covered | T2,T20,T14 |
1 | 1 | Covered | T1,T2,T3 |
LINE 161
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & gen_normal_case.gen_tree[1].gen_level[0].gen_nodes.sel)
----------------------------------1---------------------------------- ---------------------------2--------------------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T2,T20,T14 |
1 | 1 | Covered | T2,T20,T14 |
LINE 161
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & gen_normal_case.gen_tree[1].gen_level[1].gen_nodes.sel)
----------------------------------1---------------------------------- ---------------------------2--------------------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T43,T65,T61 |
1 | 0 | Covered | T2,T20,T14 |
1 | 1 | Covered | T1,T2,T3 |
LINE 164
EXPRESSION (gen_normal_case.mask_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] | gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Unreachable | |
LINE 164
EXPRESSION (gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] | gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].C0])
-----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Unreachable | |
LINE 164
EXPRESSION (gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] | gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].C0])
-----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T2,T20,T14 |
1 | 0 | Covered | T2,T20,T14 |
Branch Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd.u_valid_random
| Line No. | Total | Covered | Percent |
Branches |
|
22 |
22 |
100.00 |
TERNARY |
155 |
2 |
2 |
100.00 |
TERNARY |
156 |
2 |
2 |
100.00 |
TERNARY |
155 |
2 |
2 |
100.00 |
TERNARY |
156 |
2 |
2 |
100.00 |
TERNARY |
155 |
2 |
2 |
100.00 |
TERNARY |
156 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
IF |
191 |
2 |
2 |
100.00 |
155 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T20,T14 |
156 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T20,T14 |
155 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T20,T14 |
156 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T20,T14 |
155 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T20,T14 |
156 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T20,T14 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T2,T20,T14 |
0 |
Covered |
T1,T2,T3 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T2,T20,T14 |
0 |
Covered |
T1,T2,T3 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T2,T20,T14 |
0 |
Covered |
T1,T2,T3 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T2,T20,T14 |
0 |
Covered |
T1,T2,T3 |
191 if (!rst_ni) begin
-1-
192 prio_mask_q <= '0;
==>
193 end else begin
194 prio_mask_q <= prio_mask_d;
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
Assert Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd.u_valid_random
Assertion Details
CheckHotOne_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
CheckNGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
1040 |
1040 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T13 |
1 |
1 |
0 |
0 |
T14 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T20 |
1 |
1 |
0 |
0 |
T21 |
1 |
1 |
0 |
0 |
T22 |
1 |
1 |
0 |
0 |
GntImpliesReady_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
2437110 |
0 |
0 |
T2 |
10675 |
174 |
0 |
0 |
T3 |
2553 |
0 |
0 |
0 |
T7 |
2265 |
0 |
0 |
0 |
T13 |
1325 |
0 |
0 |
0 |
T14 |
55235 |
156 |
0 |
0 |
T15 |
96557 |
446 |
0 |
0 |
T16 |
0 |
19 |
0 |
0 |
T17 |
0 |
298 |
0 |
0 |
T20 |
1473 |
70 |
0 |
0 |
T21 |
1922 |
0 |
0 |
0 |
T22 |
179002 |
0 |
0 |
0 |
T23 |
95417 |
13 |
0 |
0 |
T27 |
0 |
25 |
0 |
0 |
T43 |
0 |
8821 |
0 |
0 |
T65 |
0 |
9820 |
0 |
0 |
GntImpliesValid_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
2437110 |
0 |
0 |
T2 |
10675 |
174 |
0 |
0 |
T3 |
2553 |
0 |
0 |
0 |
T7 |
2265 |
0 |
0 |
0 |
T13 |
1325 |
0 |
0 |
0 |
T14 |
55235 |
156 |
0 |
0 |
T15 |
96557 |
446 |
0 |
0 |
T16 |
0 |
19 |
0 |
0 |
T17 |
0 |
298 |
0 |
0 |
T20 |
1473 |
70 |
0 |
0 |
T21 |
1922 |
0 |
0 |
0 |
T22 |
179002 |
0 |
0 |
0 |
T23 |
95417 |
13 |
0 |
0 |
T27 |
0 |
25 |
0 |
0 |
T43 |
0 |
8821 |
0 |
0 |
T65 |
0 |
9820 |
0 |
0 |
GrantKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
IdxKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
IndexIsCorrect_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
2437110 |
0 |
0 |
T2 |
10675 |
174 |
0 |
0 |
T3 |
2553 |
0 |
0 |
0 |
T7 |
2265 |
0 |
0 |
0 |
T13 |
1325 |
0 |
0 |
0 |
T14 |
55235 |
156 |
0 |
0 |
T15 |
96557 |
446 |
0 |
0 |
T16 |
0 |
19 |
0 |
0 |
T17 |
0 |
298 |
0 |
0 |
T20 |
1473 |
70 |
0 |
0 |
T21 |
1922 |
0 |
0 |
0 |
T22 |
179002 |
0 |
0 |
0 |
T23 |
95417 |
13 |
0 |
0 |
T27 |
0 |
25 |
0 |
0 |
T43 |
0 |
8821 |
0 |
0 |
T65 |
0 |
9820 |
0 |
0 |
LockArbDecision_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
0 |
0 |
0 |
NoReadyValidNoGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
285316709 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
406 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
5359 |
0 |
0 |
T15 |
96557 |
21383 |
0 |
0 |
T20 |
1473 |
443 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
ReadyAndValidImplyGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
2437110 |
0 |
0 |
T2 |
10675 |
174 |
0 |
0 |
T3 |
2553 |
0 |
0 |
0 |
T7 |
2265 |
0 |
0 |
0 |
T13 |
1325 |
0 |
0 |
0 |
T14 |
55235 |
156 |
0 |
0 |
T15 |
96557 |
446 |
0 |
0 |
T16 |
0 |
19 |
0 |
0 |
T17 |
0 |
298 |
0 |
0 |
T20 |
1473 |
70 |
0 |
0 |
T21 |
1922 |
0 |
0 |
0 |
T22 |
179002 |
0 |
0 |
0 |
T23 |
95417 |
13 |
0 |
0 |
T27 |
0 |
25 |
0 |
0 |
T43 |
0 |
8821 |
0 |
0 |
T65 |
0 |
9820 |
0 |
0 |
ReqAndReadyImplyGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
2437110 |
0 |
0 |
T2 |
10675 |
174 |
0 |
0 |
T3 |
2553 |
0 |
0 |
0 |
T7 |
2265 |
0 |
0 |
0 |
T13 |
1325 |
0 |
0 |
0 |
T14 |
55235 |
156 |
0 |
0 |
T15 |
96557 |
446 |
0 |
0 |
T16 |
0 |
19 |
0 |
0 |
T17 |
0 |
298 |
0 |
0 |
T20 |
1473 |
70 |
0 |
0 |
T21 |
1922 |
0 |
0 |
0 |
T22 |
179002 |
0 |
0 |
0 |
T23 |
95417 |
13 |
0 |
0 |
T27 |
0 |
25 |
0 |
0 |
T43 |
0 |
8821 |
0 |
0 |
T65 |
0 |
9820 |
0 |
0 |
ReqImpliesValid_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
96185804 |
0 |
0 |
T2 |
10675 |
10178 |
0 |
0 |
T3 |
2553 |
0 |
0 |
0 |
T7 |
2265 |
0 |
0 |
0 |
T13 |
1325 |
0 |
0 |
0 |
T14 |
55235 |
49783 |
0 |
0 |
T15 |
96557 |
74853 |
0 |
0 |
T16 |
0 |
2501 |
0 |
0 |
T17 |
0 |
75574 |
0 |
0 |
T20 |
1473 |
963 |
0 |
0 |
T21 |
1922 |
0 |
0 |
0 |
T22 |
179002 |
0 |
0 |
0 |
T23 |
95417 |
79828 |
0 |
0 |
T27 |
0 |
4998 |
0 |
0 |
T43 |
0 |
56027 |
0 |
0 |
T65 |
0 |
54448 |
0 |
0 |
ReqStaysHighUntilGranted0_M
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
0 |
0 |
0 |
RoundRobin_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
0 |
0 |
1035 |
ValidKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_eflash.u_scramble.u_prim_arbiter_tree_op.gen_input_bufs[0].gen_rr_arbiter.u_arb
| Line No. | Total | Covered | Percent |
TOTAL | | 25 | 25 | 100.00 |
CONT_ASSIGN | 62 | 0 | 0 | |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 122 | 1 | 1 | 100.00 |
CONT_ASSIGN | 122 | 1 | 1 | 100.00 |
CONT_ASSIGN | 126 | 1 | 1 | 100.00 |
CONT_ASSIGN | 126 | 1 | 1 | 100.00 |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 148 | 1 | 1 | 100.00 |
CONT_ASSIGN | 150 | 1 | 1 | 100.00 |
CONT_ASSIGN | 151 | 1 | 1 | 100.00 |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 156 | 1 | 1 | 100.00 |
CONT_ASSIGN | 160 | 1 | 1 | 100.00 |
CONT_ASSIGN | 161 | 1 | 1 | 100.00 |
CONT_ASSIGN | 163 | 0 | 0 | |
CONT_ASSIGN | 164 | 1 | 1 | 100.00 |
CONT_ASSIGN | 171 | 1 | 1 | 100.00 |
CONT_ASSIGN | 180 | 1 | 1 | 100.00 |
CONT_ASSIGN | 182 | 1 | 1 | 100.00 |
CONT_ASSIGN | 183 | 1 | 1 | 100.00 |
ALWAYS | 191 | 3 | 3 | 100.00 |
61 logic unused_req_chk;
62 unreachable assign unused_req_chk = req_chk_i;
63
64 `ASSERT_INIT(CheckNGreaterZero_A, N > 0)
65
66 // this case is basically just a bypass
67 if (N == 1) begin : gen_degenerate_case
68
69 assign valid_o = req_i[0];
70 assign data_o = data_i[0];
71 assign gnt_o[0] = valid_o & ready_i;
72 assign idx_o = '0;
73
74 end else begin : gen_normal_case
75
76 // align to powers of 2 for simplicity
77 // a full binary tree with N levels has 2**N + 2**N-1 nodes
78 logic [2**(IdxW+1)-2:0] req_tree;
79 logic [2**(IdxW+1)-2:0] prio_tree;
80 logic [2**(IdxW+1)-2:0] sel_tree;
81 logic [2**(IdxW+1)-2:0] mask_tree;
82 logic [2**(IdxW+1)-2:0][IdxW-1:0] idx_tree;
83 logic [2**(IdxW+1)-2:0][DW-1:0] data_tree;
84 logic [N-1:0] prio_mask_d, prio_mask_q;
85
86 for (genvar level = 0; level < IdxW+1; level++) begin : gen_tree
87 //
88 // level+1 C0 C1 <- "Base1" points to the first node on "level+1",
89 // \ / these nodes are the children of the nodes one level below
90 // level Pa <- "Base0", points to the first node on "level",
91 // these nodes are the parents of the nodes one level above
92 //
93 // hence we have the following indices for the Pa, C0, C1 nodes:
94 // Pa = 2**level - 1 + offset = Base0 + offset
95 // C0 = 2**(level+1) - 1 + 2*offset = Base1 + 2*offset
96 // C1 = 2**(level+1) - 1 + 2*offset + 1 = Base1 + 2*offset + 1
97 //
98 localparam int Base0 = (2**level)-1;
99 localparam int Base1 = (2**(level+1))-1;
100
101 for (genvar offset = 0; offset < 2**level; offset++) begin : gen_level
102 localparam int Pa = Base0 + offset;
103 localparam int C0 = Base1 + 2*offset;
104 localparam int C1 = Base1 + 2*offset + 1;
105
106 // this assigns the gated interrupt source signals, their
107 // corresponding IDs and priorities to the tree leafs
108 if (level == IdxW) begin : gen_leafs
109 if (offset < N) begin : gen_assign
110 // forward path (requests and data)
111 // all requests inputs are assigned to the request tree
112 2/2 assign req_tree[Pa] = req_i[offset];
Tests: T1 T2 T3 | T1 T2 T3
113 // we basically split the incoming request vector into two halves with the following
114 // priority assignment. the prio_mask_q register contains a prefix sum that has been
115 // computed using the last winning index, and hence masks out all requests at offsets
116 // lower or equal the previously granted index. hence, all higher indices are considered
117 // first in the arbitration tree nodes below, before considering the lower indices.
118 2/2 assign prio_tree[Pa] = req_i[offset] & prio_mask_q[offset];
Tests: T1 T2 T3 | T1 T2 T3
119 // input for the index muxes (used to compute the winner index)
120 assign idx_tree[Pa] = offset;
121 // input for the data muxes
122 2/2 assign data_tree[Pa] = data_i[offset];
Tests: T1 T2 T3 | T1 T2 T3
123
124 // backward path (grants and prefix sum)
125 // grant if selected, ready and request asserted
126 2/2 assign gnt_o[offset] = req_i[offset] & sel_tree[Pa] & ready_i;
Tests: T1 T2 T3 | T1 T2 T3
127 // only update mask if there is a valid request
128 2/2 assign prio_mask_d[offset] = (|req_i) ?
Tests: T1 T2 T3 | T1 T2 T3
129 mask_tree[Pa] | sel_tree[Pa] & ~ready_i :
130 prio_mask_q[offset];
131 end else begin : gen_tie_off
132 // forward path
133 assign req_tree[Pa] = '0;
134 assign prio_tree[Pa] = '0;
135 assign idx_tree[Pa] = '0;
136 assign data_tree[Pa] = '0;
137 logic unused_sigs;
138 assign unused_sigs = ^{mask_tree[Pa],
139 sel_tree[Pa]};
140 end
141 // this creates the node assignments
142 end else begin : gen_nodes
143 // local helper variable
144 logic sel;
145
146 // forward path (requests and data)
147 // each node looks at its two children, and selects the one with higher priority
148 1/1 assign sel = ~req_tree[C0] | ~prio_tree[C0] & prio_tree[C1];
Tests: T1 T2 T3
149 // propagate requests
150 1/1 assign req_tree[Pa] = req_tree[C0] | req_tree[C1];
Tests: T1 T2 T3
151 1/1 assign prio_tree[Pa] = prio_tree[C1] | prio_tree[C0];
Tests: T1 T2 T3
152 // data and index muxes
153 // Note: these ternaries have triggered a synthesis bug in Vivado versions older
154 // than 2020.2. If the problem resurfaces again, have a look at issue #1408.
155 1/1 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
Tests: T1 T2 T3
156 1/1 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
Tests: T1 T2 T3
157
158 // backward path (grants and prefix sum)
159 // this propagates the selction index back and computes a hot one mask
160 1/1 assign sel_tree[C0] = sel_tree[Pa] & ~sel;
Tests: T1 T2 T3
161 1/1 assign sel_tree[C1] = sel_tree[Pa] & sel;
Tests: T1 T2 T3
162 // this performs a prefix sum for masking the input requests in the next cycle
163 unreachable assign mask_tree[C0] = mask_tree[Pa];
164 1/1 assign mask_tree[C1] = mask_tree[Pa] | sel_tree[C0];
Tests: T1 T2 T3
165 end
166 end : gen_level
167 end : gen_tree
168
169 // the results can be found at the tree root
170 if (EnDataPort) begin : gen_data_port
171 1/1 assign data_o = data_tree[0];
Tests: T1 T2 T3
172 end else begin : gen_no_dataport
173 logic [DW-1:0] unused_data;
174 assign unused_data = data_tree[0];
175 assign data_o = '1;
176 end
177
178 // This index is unused.
179 logic unused_prio_tree;
180 1/1 assign unused_prio_tree = prio_tree[0];
Tests: T1 T2 T3
181
182 1/1 assign idx_o = idx_tree[0];
Tests: T1 T2 T3
183 1/1 assign valid_o = req_tree[0];
Tests: T1 T2 T3
184
185 // the select tree computes a hot one signal that indicates which request is currently selected
186 assign sel_tree[0] = 1'b1;
187 // the mask tree is basically a prefix sum of the hot one select signal computed above
188 assign mask_tree[0] = 1'b0;
189
190 always_ff @(posedge clk_i or negedge rst_ni) begin : p_mask_reg
191 1/1 if (!rst_ni) begin
Tests: T1 T2 T3
192 1/1 prio_mask_q <= '0;
Tests: T1 T2 T3
193 end else begin
194 1/1 prio_mask_q <= prio_mask_d;
Tests: T1 T2 T3
Cond Coverage for Instance : tb.dut.u_eflash.u_scramble.u_prim_arbiter_tree_op.gen_input_bufs[0].gen_rr_arbiter.u_arb
| Total | Covered | Percent |
Conditions | 51 | 44 | 86.27 |
Logical | 51 | 44 | 86.27 |
Non-Logical | 0 | 0 | |
Event | 0 | 0 | |
LINE 118
EXPRESSION (req_i[0] & gen_normal_case.prio_mask_q[0])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Not Covered | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 118
EXPRESSION (req_i[1] & gen_normal_case.prio_mask_q[1])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T20,T14,T22 |
1 | 1 | Covered | T20,T14,T22 |
LINE 126
EXPRESSION (req_i[0] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Not Covered | |
1 | 0 | 1 | Not Covered | |
1 | 1 | 0 | Covered | T1,T2,T3 |
1 | 1 | 1 | Covered | T1,T2,T3 |
LINE 126
EXPRESSION (req_i[1] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Not Covered | |
1 | 0 | 1 | Not Covered | |
1 | 1 | 0 | Covered | T20,T14,T22 |
1 | 1 | 1 | Covered | T20,T14,T22 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Unreachable | |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T20,T14,T22 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[1])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T20,T14,T22 |
0 | 1 | Covered | T20,T14,T22 |
1 | 0 | Covered | T1,T2,T3 |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T20,T14,T22 |
1 | 1 | Covered | T20,T14,T22 |
LINE 148
EXPRESSION
Number Term
1 ((~gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) |
2 (((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) & gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1]))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Not Covered | |
1 | 0 | Covered | T1,T2,T3 |
LINE 148
SUB-EXPRESSION
Number Term
1 ((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) &
2 gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1])
-1- | -2- | Status | Tests |
0 | 1 | Not Covered | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T20,T14,T22 |
LINE 150
EXPRESSION (gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C0] | gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C1])
----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T20,T14,T22 |
1 | 0 | Covered | T1,T2,T3 |
LINE 151
EXPRESSION (gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] | gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-----------------------------------1---------------------------------- -----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T20,T14,T22 |
LINE 155
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel ? gen_normal_case.idx_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] : gen_normal_case.idx_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 156
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel ? gen_normal_case.data_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] : gen_normal_case.data_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 160
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] & ((~gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel)))
----------------------------------1---------------------------------- -----------------------------2-----------------------------
-1- | -2- | Status | Tests |
0 | 1 | Unreachable | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 161
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] & gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel)
----------------------------------1---------------------------------- ---------------------------2--------------------------
-1- | -2- | Status | Tests |
0 | 1 | Unreachable | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 164
EXPRESSION (gen_normal_case.mask_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] | gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Unreachable | |
Branch Coverage for Instance : tb.dut.u_eflash.u_scramble.u_prim_arbiter_tree_op.gen_input_bufs[0].gen_rr_arbiter.u_arb
| Line No. | Total | Covered | Percent |
Branches |
|
10 |
10 |
100.00 |
TERNARY |
155 |
2 |
2 |
100.00 |
TERNARY |
156 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
IF |
191 |
2 |
2 |
100.00 |
155 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
156 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
191 if (!rst_ni) begin
-1-
192 prio_mask_q <= '0;
==>
193 end else begin
194 prio_mask_q <= prio_mask_d;
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
Assert Coverage for Instance : tb.dut.u_eflash.u_scramble.u_prim_arbiter_tree_op.gen_input_bufs[0].gen_rr_arbiter.u_arb
Assertion Details
CheckHotOne_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
CheckNGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
1040 |
1040 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T13 |
1 |
1 |
0 |
0 |
T14 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T20 |
1 |
1 |
0 |
0 |
T21 |
1 |
1 |
0 |
0 |
T22 |
1 |
1 |
0 |
0 |
GntImpliesReady_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
15627976 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
GntImpliesValid_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
15627976 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
GrantKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
IdxKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
IndexIsCorrect_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
15627976 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
LockArbDecision_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
15627976 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
NoReadyValidNoGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
354859500 |
0 |
0 |
T1 |
3874 |
3733 |
0 |
0 |
T2 |
10675 |
10524 |
0 |
0 |
T3 |
2553 |
2394 |
0 |
0 |
T7 |
2265 |
2109 |
0 |
0 |
T13 |
1325 |
1168 |
0 |
0 |
T14 |
55235 |
54534 |
0 |
0 |
T15 |
96557 |
96400 |
0 |
0 |
T20 |
1473 |
1198 |
0 |
0 |
T21 |
1922 |
1796 |
0 |
0 |
T22 |
179002 |
173866 |
0 |
0 |
ReadyAndValidImplyGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
15627976 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
ReqAndReadyImplyGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
15627976 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
ReqImpliesValid_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
31255959 |
0 |
0 |
T1 |
3874 |
64 |
0 |
0 |
T2 |
10675 |
64 |
0 |
0 |
T3 |
2553 |
64 |
0 |
0 |
T7 |
2265 |
64 |
0 |
0 |
T13 |
1325 |
64 |
0 |
0 |
T14 |
55235 |
612 |
0 |
0 |
T15 |
96557 |
64 |
0 |
0 |
T20 |
1473 |
212 |
0 |
0 |
T21 |
1922 |
64 |
0 |
0 |
T22 |
179002 |
5072 |
0 |
0 |
ReqStaysHighUntilGranted0_M
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
15627976 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
RoundRobin_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
0 |
0 |
1035 |
ValidKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
gen_data_port_assertion.DataFlow_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
15627976 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_eflash.u_scramble.u_prim_arbiter_tree_op.gen_input_bufs[1].gen_rr_arbiter.u_arb
| Line No. | Total | Covered | Percent |
TOTAL | | 25 | 25 | 100.00 |
CONT_ASSIGN | 62 | 0 | 0 | |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 122 | 1 | 1 | 100.00 |
CONT_ASSIGN | 122 | 1 | 1 | 100.00 |
CONT_ASSIGN | 126 | 1 | 1 | 100.00 |
CONT_ASSIGN | 126 | 1 | 1 | 100.00 |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 148 | 1 | 1 | 100.00 |
CONT_ASSIGN | 150 | 1 | 1 | 100.00 |
CONT_ASSIGN | 151 | 1 | 1 | 100.00 |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 156 | 1 | 1 | 100.00 |
CONT_ASSIGN | 160 | 1 | 1 | 100.00 |
CONT_ASSIGN | 161 | 1 | 1 | 100.00 |
CONT_ASSIGN | 163 | 0 | 0 | |
CONT_ASSIGN | 164 | 1 | 1 | 100.00 |
CONT_ASSIGN | 171 | 1 | 1 | 100.00 |
CONT_ASSIGN | 180 | 1 | 1 | 100.00 |
CONT_ASSIGN | 182 | 1 | 1 | 100.00 |
CONT_ASSIGN | 183 | 1 | 1 | 100.00 |
ALWAYS | 191 | 3 | 3 | 100.00 |
61 logic unused_req_chk;
62 unreachable assign unused_req_chk = req_chk_i;
63
64 `ASSERT_INIT(CheckNGreaterZero_A, N > 0)
65
66 // this case is basically just a bypass
67 if (N == 1) begin : gen_degenerate_case
68
69 assign valid_o = req_i[0];
70 assign data_o = data_i[0];
71 assign gnt_o[0] = valid_o & ready_i;
72 assign idx_o = '0;
73
74 end else begin : gen_normal_case
75
76 // align to powers of 2 for simplicity
77 // a full binary tree with N levels has 2**N + 2**N-1 nodes
78 logic [2**(IdxW+1)-2:0] req_tree;
79 logic [2**(IdxW+1)-2:0] prio_tree;
80 logic [2**(IdxW+1)-2:0] sel_tree;
81 logic [2**(IdxW+1)-2:0] mask_tree;
82 logic [2**(IdxW+1)-2:0][IdxW-1:0] idx_tree;
83 logic [2**(IdxW+1)-2:0][DW-1:0] data_tree;
84 logic [N-1:0] prio_mask_d, prio_mask_q;
85
86 for (genvar level = 0; level < IdxW+1; level++) begin : gen_tree
87 //
88 // level+1 C0 C1 <- "Base1" points to the first node on "level+1",
89 // \ / these nodes are the children of the nodes one level below
90 // level Pa <- "Base0", points to the first node on "level",
91 // these nodes are the parents of the nodes one level above
92 //
93 // hence we have the following indices for the Pa, C0, C1 nodes:
94 // Pa = 2**level - 1 + offset = Base0 + offset
95 // C0 = 2**(level+1) - 1 + 2*offset = Base1 + 2*offset
96 // C1 = 2**(level+1) - 1 + 2*offset + 1 = Base1 + 2*offset + 1
97 //
98 localparam int Base0 = (2**level)-1;
99 localparam int Base1 = (2**(level+1))-1;
100
101 for (genvar offset = 0; offset < 2**level; offset++) begin : gen_level
102 localparam int Pa = Base0 + offset;
103 localparam int C0 = Base1 + 2*offset;
104 localparam int C1 = Base1 + 2*offset + 1;
105
106 // this assigns the gated interrupt source signals, their
107 // corresponding IDs and priorities to the tree leafs
108 if (level == IdxW) begin : gen_leafs
109 if (offset < N) begin : gen_assign
110 // forward path (requests and data)
111 // all requests inputs are assigned to the request tree
112 2/2 assign req_tree[Pa] = req_i[offset];
Tests: T1 T2 T3 | T1 T2 T3
113 // we basically split the incoming request vector into two halves with the following
114 // priority assignment. the prio_mask_q register contains a prefix sum that has been
115 // computed using the last winning index, and hence masks out all requests at offsets
116 // lower or equal the previously granted index. hence, all higher indices are considered
117 // first in the arbitration tree nodes below, before considering the lower indices.
118 2/2 assign prio_tree[Pa] = req_i[offset] & prio_mask_q[offset];
Tests: T1 T2 T3 | T1 T2 T3
119 // input for the index muxes (used to compute the winner index)
120 assign idx_tree[Pa] = offset;
121 // input for the data muxes
122 2/2 assign data_tree[Pa] = data_i[offset];
Tests: T1 T2 T3 | T1 T2 T3
123
124 // backward path (grants and prefix sum)
125 // grant if selected, ready and request asserted
126 2/2 assign gnt_o[offset] = req_i[offset] & sel_tree[Pa] & ready_i;
Tests: T1 T2 T3 | T1 T2 T3
127 // only update mask if there is a valid request
128 2/2 assign prio_mask_d[offset] = (|req_i) ?
Tests: T1 T2 T3 | T1 T2 T3
129 mask_tree[Pa] | sel_tree[Pa] & ~ready_i :
130 prio_mask_q[offset];
131 end else begin : gen_tie_off
132 // forward path
133 assign req_tree[Pa] = '0;
134 assign prio_tree[Pa] = '0;
135 assign idx_tree[Pa] = '0;
136 assign data_tree[Pa] = '0;
137 logic unused_sigs;
138 assign unused_sigs = ^{mask_tree[Pa],
139 sel_tree[Pa]};
140 end
141 // this creates the node assignments
142 end else begin : gen_nodes
143 // local helper variable
144 logic sel;
145
146 // forward path (requests and data)
147 // each node looks at its two children, and selects the one with higher priority
148 1/1 assign sel = ~req_tree[C0] | ~prio_tree[C0] & prio_tree[C1];
Tests: T1 T2 T3
149 // propagate requests
150 1/1 assign req_tree[Pa] = req_tree[C0] | req_tree[C1];
Tests: T1 T2 T3
151 1/1 assign prio_tree[Pa] = prio_tree[C1] | prio_tree[C0];
Tests: T1 T2 T3
152 // data and index muxes
153 // Note: these ternaries have triggered a synthesis bug in Vivado versions older
154 // than 2020.2. If the problem resurfaces again, have a look at issue #1408.
155 1/1 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
Tests: T1 T2 T3
156 1/1 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
Tests: T1 T2 T3
157
158 // backward path (grants and prefix sum)
159 // this propagates the selction index back and computes a hot one mask
160 1/1 assign sel_tree[C0] = sel_tree[Pa] & ~sel;
Tests: T1 T2 T3
161 1/1 assign sel_tree[C1] = sel_tree[Pa] & sel;
Tests: T1 T2 T3
162 // this performs a prefix sum for masking the input requests in the next cycle
163 unreachable assign mask_tree[C0] = mask_tree[Pa];
164 1/1 assign mask_tree[C1] = mask_tree[Pa] | sel_tree[C0];
Tests: T1 T2 T3
165 end
166 end : gen_level
167 end : gen_tree
168
169 // the results can be found at the tree root
170 if (EnDataPort) begin : gen_data_port
171 1/1 assign data_o = data_tree[0];
Tests: T1 T2 T3
172 end else begin : gen_no_dataport
173 logic [DW-1:0] unused_data;
174 assign unused_data = data_tree[0];
175 assign data_o = '1;
176 end
177
178 // This index is unused.
179 logic unused_prio_tree;
180 1/1 assign unused_prio_tree = prio_tree[0];
Tests: T1 T2 T3
181
182 1/1 assign idx_o = idx_tree[0];
Tests: T1 T2 T3
183 1/1 assign valid_o = req_tree[0];
Tests: T1 T2 T3
184
185 // the select tree computes a hot one signal that indicates which request is currently selected
186 assign sel_tree[0] = 1'b1;
187 // the mask tree is basically a prefix sum of the hot one select signal computed above
188 assign mask_tree[0] = 1'b0;
189
190 always_ff @(posedge clk_i or negedge rst_ni) begin : p_mask_reg
191 1/1 if (!rst_ni) begin
Tests: T1 T2 T3
192 1/1 prio_mask_q <= '0;
Tests: T1 T2 T3
193 end else begin
194 1/1 prio_mask_q <= prio_mask_d;
Tests: T1 T2 T3
Cond Coverage for Instance : tb.dut.u_eflash.u_scramble.u_prim_arbiter_tree_op.gen_input_bufs[1].gen_rr_arbiter.u_arb
| Total | Covered | Percent |
Conditions | 51 | 44 | 86.27 |
Logical | 51 | 44 | 86.27 |
Non-Logical | 0 | 0 | |
Event | 0 | 0 | |
LINE 118
EXPRESSION (req_i[0] & gen_normal_case.prio_mask_q[0])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Not Covered | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 118
EXPRESSION (req_i[1] & gen_normal_case.prio_mask_q[1])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T20,T14,T22 |
1 | 1 | Covered | T20,T14,T22 |
LINE 126
EXPRESSION (req_i[0] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Not Covered | |
1 | 0 | 1 | Not Covered | |
1 | 1 | 0 | Covered | T1,T2,T3 |
1 | 1 | 1 | Covered | T1,T2,T3 |
LINE 126
EXPRESSION (req_i[1] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Not Covered | |
1 | 0 | 1 | Not Covered | |
1 | 1 | 0 | Covered | T20,T14,T22 |
1 | 1 | 1 | Covered | T20,T14,T22 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Unreachable | |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T20,T14,T22 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[1])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T20,T14,T22 |
0 | 1 | Covered | T20,T14,T22 |
1 | 0 | Covered | T1,T2,T3 |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T20,T14,T22 |
1 | 1 | Covered | T20,T14,T22 |
LINE 148
EXPRESSION
Number Term
1 ((~gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) |
2 (((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) & gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1]))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Not Covered | |
1 | 0 | Covered | T1,T2,T3 |
LINE 148
SUB-EXPRESSION
Number Term
1 ((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) &
2 gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1])
-1- | -2- | Status | Tests |
0 | 1 | Not Covered | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T20,T14,T22 |
LINE 150
EXPRESSION (gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C0] | gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C1])
----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T20,T14,T22 |
1 | 0 | Covered | T1,T2,T3 |
LINE 151
EXPRESSION (gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] | gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-----------------------------------1---------------------------------- -----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T20,T14,T22 |
LINE 155
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel ? gen_normal_case.idx_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] : gen_normal_case.idx_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 156
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel ? gen_normal_case.data_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] : gen_normal_case.data_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 160
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] & ((~gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel)))
----------------------------------1---------------------------------- -----------------------------2-----------------------------
-1- | -2- | Status | Tests |
0 | 1 | Unreachable | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 161
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] & gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel)
----------------------------------1---------------------------------- ---------------------------2--------------------------
-1- | -2- | Status | Tests |
0 | 1 | Unreachable | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 164
EXPRESSION (gen_normal_case.mask_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] | gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Unreachable | |
Branch Coverage for Instance : tb.dut.u_eflash.u_scramble.u_prim_arbiter_tree_op.gen_input_bufs[1].gen_rr_arbiter.u_arb
| Line No. | Total | Covered | Percent |
Branches |
|
10 |
10 |
100.00 |
TERNARY |
155 |
2 |
2 |
100.00 |
TERNARY |
156 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
IF |
191 |
2 |
2 |
100.00 |
155 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
156 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
191 if (!rst_ni) begin
-1-
192 prio_mask_q <= '0;
==>
193 end else begin
194 prio_mask_q <= prio_mask_d;
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
Assert Coverage for Instance : tb.dut.u_eflash.u_scramble.u_prim_arbiter_tree_op.gen_input_bufs[1].gen_rr_arbiter.u_arb
Assertion Details
CheckHotOne_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
CheckNGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
1040 |
1040 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T13 |
1 |
1 |
0 |
0 |
T14 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T20 |
1 |
1 |
0 |
0 |
T21 |
1 |
1 |
0 |
0 |
T22 |
1 |
1 |
0 |
0 |
GntImpliesReady_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
15627976 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
GntImpliesValid_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
15627976 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
GrantKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
IdxKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
IndexIsCorrect_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
15627976 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
LockArbDecision_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
15627976 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
NoReadyValidNoGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
354859500 |
0 |
0 |
T1 |
3874 |
3733 |
0 |
0 |
T2 |
10675 |
10524 |
0 |
0 |
T3 |
2553 |
2394 |
0 |
0 |
T7 |
2265 |
2109 |
0 |
0 |
T13 |
1325 |
1168 |
0 |
0 |
T14 |
55235 |
54534 |
0 |
0 |
T15 |
96557 |
96400 |
0 |
0 |
T20 |
1473 |
1198 |
0 |
0 |
T21 |
1922 |
1796 |
0 |
0 |
T22 |
179002 |
173866 |
0 |
0 |
ReadyAndValidImplyGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
15627976 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
ReqAndReadyImplyGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
15627976 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
ReqImpliesValid_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
31255959 |
0 |
0 |
T1 |
3874 |
64 |
0 |
0 |
T2 |
10675 |
64 |
0 |
0 |
T3 |
2553 |
64 |
0 |
0 |
T7 |
2265 |
64 |
0 |
0 |
T13 |
1325 |
64 |
0 |
0 |
T14 |
55235 |
612 |
0 |
0 |
T15 |
96557 |
64 |
0 |
0 |
T20 |
1473 |
212 |
0 |
0 |
T21 |
1922 |
64 |
0 |
0 |
T22 |
179002 |
5072 |
0 |
0 |
ReqStaysHighUntilGranted0_M
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
15627976 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
RoundRobin_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
0 |
0 |
1035 |
ValidKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
gen_data_port_assertion.DataFlow_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
15627976 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_eflash.u_scramble.u_prim_arbiter_tree_calc.gen_input_bufs[0].gen_rr_arbiter.u_arb
| Line No. | Total | Covered | Percent |
TOTAL | | 23 | 23 | 100.00 |
CONT_ASSIGN | 62 | 0 | 0 | |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 122 | 1 | 1 | 100.00 |
CONT_ASSIGN | 122 | 1 | 1 | 100.00 |
CONT_ASSIGN | 126 | 0 | 0 | |
CONT_ASSIGN | 126 | 0 | 0 | |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 148 | 1 | 1 | 100.00 |
CONT_ASSIGN | 150 | 1 | 1 | 100.00 |
CONT_ASSIGN | 151 | 1 | 1 | 100.00 |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 156 | 1 | 1 | 100.00 |
CONT_ASSIGN | 160 | 1 | 1 | 100.00 |
CONT_ASSIGN | 161 | 1 | 1 | 100.00 |
CONT_ASSIGN | 163 | 0 | 0 | |
CONT_ASSIGN | 164 | 1 | 1 | 100.00 |
CONT_ASSIGN | 171 | 1 | 1 | 100.00 |
CONT_ASSIGN | 180 | 1 | 1 | 100.00 |
CONT_ASSIGN | 182 | 1 | 1 | 100.00 |
CONT_ASSIGN | 183 | 1 | 1 | 100.00 |
ALWAYS | 191 | 3 | 3 | 100.00 |
61 logic unused_req_chk;
62 unreachable assign unused_req_chk = req_chk_i;
63
64 `ASSERT_INIT(CheckNGreaterZero_A, N > 0)
65
66 // this case is basically just a bypass
67 if (N == 1) begin : gen_degenerate_case
68
69 assign valid_o = req_i[0];
70 assign data_o = data_i[0];
71 assign gnt_o[0] = valid_o & ready_i;
72 assign idx_o = '0;
73
74 end else begin : gen_normal_case
75
76 // align to powers of 2 for simplicity
77 // a full binary tree with N levels has 2**N + 2**N-1 nodes
78 logic [2**(IdxW+1)-2:0] req_tree;
79 logic [2**(IdxW+1)-2:0] prio_tree;
80 logic [2**(IdxW+1)-2:0] sel_tree;
81 logic [2**(IdxW+1)-2:0] mask_tree;
82 logic [2**(IdxW+1)-2:0][IdxW-1:0] idx_tree;
83 logic [2**(IdxW+1)-2:0][DW-1:0] data_tree;
84 logic [N-1:0] prio_mask_d, prio_mask_q;
85
86 for (genvar level = 0; level < IdxW+1; level++) begin : gen_tree
87 //
88 // level+1 C0 C1 <- "Base1" points to the first node on "level+1",
89 // \ / these nodes are the children of the nodes one level below
90 // level Pa <- "Base0", points to the first node on "level",
91 // these nodes are the parents of the nodes one level above
92 //
93 // hence we have the following indices for the Pa, C0, C1 nodes:
94 // Pa = 2**level - 1 + offset = Base0 + offset
95 // C0 = 2**(level+1) - 1 + 2*offset = Base1 + 2*offset
96 // C1 = 2**(level+1) - 1 + 2*offset + 1 = Base1 + 2*offset + 1
97 //
98 localparam int Base0 = (2**level)-1;
99 localparam int Base1 = (2**(level+1))-1;
100
101 for (genvar offset = 0; offset < 2**level; offset++) begin : gen_level
102 localparam int Pa = Base0 + offset;
103 localparam int C0 = Base1 + 2*offset;
104 localparam int C1 = Base1 + 2*offset + 1;
105
106 // this assigns the gated interrupt source signals, their
107 // corresponding IDs and priorities to the tree leafs
108 if (level == IdxW) begin : gen_leafs
109 if (offset < N) begin : gen_assign
110 // forward path (requests and data)
111 // all requests inputs are assigned to the request tree
112 2/2 assign req_tree[Pa] = req_i[offset];
Tests: T1 T2 T3 | T1 T2 T3
113 // we basically split the incoming request vector into two halves with the following
114 // priority assignment. the prio_mask_q register contains a prefix sum that has been
115 // computed using the last winning index, and hence masks out all requests at offsets
116 // lower or equal the previously granted index. hence, all higher indices are considered
117 // first in the arbitration tree nodes below, before considering the lower indices.
118 2/2 assign prio_tree[Pa] = req_i[offset] & prio_mask_q[offset];
Tests: T1 T2 T3 | T1 T2 T3
119 // input for the index muxes (used to compute the winner index)
120 assign idx_tree[Pa] = offset;
121 // input for the data muxes
122 2/2 assign data_tree[Pa] = data_i[offset];
Tests: T1 T2 T3 | T1 T2 T3
123
124 // backward path (grants and prefix sum)
125 // grant if selected, ready and request asserted
126 unreachable assign gnt_o[offset] = req_i[offset] & sel_tree[Pa] & ready_i;
127 // only update mask if there is a valid request
128 2/2 assign prio_mask_d[offset] = (|req_i) ?
Tests: T1 T2 T3 | T1 T2 T3
129 mask_tree[Pa] | sel_tree[Pa] & ~ready_i :
130 prio_mask_q[offset];
131 end else begin : gen_tie_off
132 // forward path
133 assign req_tree[Pa] = '0;
134 assign prio_tree[Pa] = '0;
135 assign idx_tree[Pa] = '0;
136 assign data_tree[Pa] = '0;
137 logic unused_sigs;
138 assign unused_sigs = ^{mask_tree[Pa],
139 sel_tree[Pa]};
140 end
141 // this creates the node assignments
142 end else begin : gen_nodes
143 // local helper variable
144 logic sel;
145
146 // forward path (requests and data)
147 // each node looks at its two children, and selects the one with higher priority
148 1/1 assign sel = ~req_tree[C0] | ~prio_tree[C0] & prio_tree[C1];
Tests: T1 T2 T3
149 // propagate requests
150 1/1 assign req_tree[Pa] = req_tree[C0] | req_tree[C1];
Tests: T1 T2 T3
151 1/1 assign prio_tree[Pa] = prio_tree[C1] | prio_tree[C0];
Tests: T1 T2 T3
152 // data and index muxes
153 // Note: these ternaries have triggered a synthesis bug in Vivado versions older
154 // than 2020.2. If the problem resurfaces again, have a look at issue #1408.
155 1/1 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
Tests: T1 T2 T3
156 1/1 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
Tests: T1 T2 T3
157
158 // backward path (grants and prefix sum)
159 // this propagates the selction index back and computes a hot one mask
160 1/1 assign sel_tree[C0] = sel_tree[Pa] & ~sel;
Tests: T1 T2 T3
161 1/1 assign sel_tree[C1] = sel_tree[Pa] & sel;
Tests: T1 T2 T3
162 // this performs a prefix sum for masking the input requests in the next cycle
163 unreachable assign mask_tree[C0] = mask_tree[Pa];
164 1/1 assign mask_tree[C1] = mask_tree[Pa] | sel_tree[C0];
Tests: T1 T2 T3
165 end
166 end : gen_level
167 end : gen_tree
168
169 // the results can be found at the tree root
170 if (EnDataPort) begin : gen_data_port
171 1/1 assign data_o = data_tree[0];
Tests: T1 T2 T3
172 end else begin : gen_no_dataport
173 logic [DW-1:0] unused_data;
174 assign unused_data = data_tree[0];
175 assign data_o = '1;
176 end
177
178 // This index is unused.
179 logic unused_prio_tree;
180 1/1 assign unused_prio_tree = prio_tree[0];
Tests: T1 T2 T3
181
182 1/1 assign idx_o = idx_tree[0];
Tests: T1 T2 T3
183 1/1 assign valid_o = req_tree[0];
Tests: T1 T2 T3
184
185 // the select tree computes a hot one signal that indicates which request is currently selected
186 assign sel_tree[0] = 1'b1;
187 // the mask tree is basically a prefix sum of the hot one select signal computed above
188 assign mask_tree[0] = 1'b0;
189
190 always_ff @(posedge clk_i or negedge rst_ni) begin : p_mask_reg
191 1/1 if (!rst_ni) begin
Tests: T1 T2 T3
192 1/1 prio_mask_q <= '0;
Tests: T1 T2 T3
193 end else begin
194 1/1 prio_mask_q <= prio_mask_d;
Tests: T1 T2 T3
Cond Coverage for Instance : tb.dut.u_eflash.u_scramble.u_prim_arbiter_tree_calc.gen_input_bufs[0].gen_rr_arbiter.u_arb
| Total | Covered | Percent |
Conditions | 43 | 42 | 97.67 |
Logical | 43 | 42 | 97.67 |
Non-Logical | 0 | 0 | |
Event | 0 | 0 | |
LINE 118
EXPRESSION (req_i[0] & gen_normal_case.prio_mask_q[0])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Not Covered | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 118
EXPRESSION (req_i[1] & gen_normal_case.prio_mask_q[1])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T20,T14,T22 |
1 | 1 | Covered | T20,T14,T22 |
LINE 126
EXPRESSION (req_i[0] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Unreachable | |
1 | 0 | 1 | Unreachable | T17,T43,T65 |
1 | 1 | 0 | Covered | T1,T2,T3 |
1 | 1 | 1 | Unreachable | T1,T2,T3 |
LINE 126
EXPRESSION (req_i[1] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Unreachable | T170 |
1 | 0 | 1 | Unreachable | T17,T43,T65 |
1 | 1 | 0 | Covered | T20,T14,T22 |
1 | 1 | 1 | Unreachable | T20,T14,T22 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Unreachable | |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T20,T14,T22 |
1 | 0 | Unreachable | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[1])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T20,T14,T22 |
0 | 1 | Covered | T20,T14,T22 |
1 | 0 | Covered | T1,T2,T3 |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Unreachable | T20,T14,T22 |
1 | 1 | Covered | T20,T14,T22 |
LINE 148
EXPRESSION
Number Term
1 ((~gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) |
2 (((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) & gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1]))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T17,T43,T65 |
1 | 0 | Covered | T1,T2,T3 |
LINE 148
SUB-EXPRESSION
Number Term
1 ((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) &
2 gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1])
-1- | -2- | Status | Tests |
0 | 1 | Covered | T17,T43,T65 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T20,T14,T22 |
LINE 150
EXPRESSION (gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C0] | gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C1])
----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T20,T14,T22 |
1 | 0 | Covered | T1,T2,T3 |
LINE 151
EXPRESSION (gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] | gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-----------------------------------1---------------------------------- -----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T20,T14,T22 |
LINE 155
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel ? gen_normal_case.idx_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] : gen_normal_case.idx_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 156
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel ? gen_normal_case.data_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] : gen_normal_case.data_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 160
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] & ((~gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel)))
----------------------------------1---------------------------------- -----------------------------2-----------------------------
-1- | -2- | Status | Tests |
0 | 1 | Unreachable | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 161
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] & gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel)
----------------------------------1---------------------------------- ---------------------------2--------------------------
-1- | -2- | Status | Tests |
0 | 1 | Unreachable | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 164
EXPRESSION (gen_normal_case.mask_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] | gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Unreachable | |
Branch Coverage for Instance : tb.dut.u_eflash.u_scramble.u_prim_arbiter_tree_calc.gen_input_bufs[0].gen_rr_arbiter.u_arb
| Line No. | Total | Covered | Percent |
Branches |
|
10 |
10 |
100.00 |
TERNARY |
155 |
2 |
2 |
100.00 |
TERNARY |
156 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
IF |
191 |
2 |
2 |
100.00 |
155 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
156 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
191 if (!rst_ni) begin
-1-
192 prio_mask_q <= '0;
==>
193 end else begin
194 prio_mask_q <= prio_mask_d;
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
Assert Coverage for Instance : tb.dut.u_eflash.u_scramble.u_prim_arbiter_tree_calc.gen_input_bufs[0].gen_rr_arbiter.u_arb
Assertion Details
CheckHotOne_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
CheckNGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
1040 |
1040 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T13 |
1 |
1 |
0 |
0 |
T14 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T20 |
1 |
1 |
0 |
0 |
T21 |
1 |
1 |
0 |
0 |
T22 |
1 |
1 |
0 |
0 |
GntImpliesReady_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
17403431 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
GntImpliesValid_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
17403431 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
GrantKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
IdxKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
IndexIsCorrect_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
17403431 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
LockArbDecision_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976815 |
17403431 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
NoReadyValidNoGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
351308548 |
0 |
0 |
T1 |
3874 |
3733 |
0 |
0 |
T2 |
10675 |
10524 |
0 |
0 |
T3 |
2553 |
2394 |
0 |
0 |
T7 |
2265 |
2109 |
0 |
0 |
T13 |
1325 |
1168 |
0 |
0 |
T14 |
55235 |
54534 |
0 |
0 |
T15 |
96557 |
96400 |
0 |
0 |
T20 |
1473 |
1198 |
0 |
0 |
T21 |
1922 |
1796 |
0 |
0 |
T22 |
179002 |
173866 |
0 |
0 |
ReadyAndValidImplyGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
17403431 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
ReqAndReadyImplyGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
17403431 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
ReqImpliesValid_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
34806875 |
0 |
0 |
T1 |
3874 |
64 |
0 |
0 |
T2 |
10675 |
64 |
0 |
0 |
T3 |
2553 |
64 |
0 |
0 |
T7 |
2265 |
64 |
0 |
0 |
T13 |
1325 |
64 |
0 |
0 |
T14 |
55235 |
612 |
0 |
0 |
T15 |
96557 |
64 |
0 |
0 |
T20 |
1473 |
212 |
0 |
0 |
T21 |
1922 |
64 |
0 |
0 |
T22 |
179002 |
5072 |
0 |
0 |
ReqStaysHighUntilGranted0_M
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386956418 |
17403236 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
RoundRobin_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
0 |
0 |
1035 |
ValidKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
gen_data_port_assertion.DataFlow_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
17403431 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_eflash.u_scramble.u_prim_arbiter_tree_calc.gen_input_bufs[1].gen_rr_arbiter.u_arb
| Line No. | Total | Covered | Percent |
TOTAL | | 23 | 23 | 100.00 |
CONT_ASSIGN | 62 | 0 | 0 | |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 112 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 118 | 1 | 1 | 100.00 |
CONT_ASSIGN | 122 | 1 | 1 | 100.00 |
CONT_ASSIGN | 122 | 1 | 1 | 100.00 |
CONT_ASSIGN | 126 | 0 | 0 | |
CONT_ASSIGN | 126 | 0 | 0 | |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 148 | 1 | 1 | 100.00 |
CONT_ASSIGN | 150 | 1 | 1 | 100.00 |
CONT_ASSIGN | 151 | 1 | 1 | 100.00 |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 156 | 1 | 1 | 100.00 |
CONT_ASSIGN | 160 | 1 | 1 | 100.00 |
CONT_ASSIGN | 161 | 1 | 1 | 100.00 |
CONT_ASSIGN | 163 | 0 | 0 | |
CONT_ASSIGN | 164 | 1 | 1 | 100.00 |
CONT_ASSIGN | 171 | 1 | 1 | 100.00 |
CONT_ASSIGN | 180 | 1 | 1 | 100.00 |
CONT_ASSIGN | 182 | 1 | 1 | 100.00 |
CONT_ASSIGN | 183 | 1 | 1 | 100.00 |
ALWAYS | 191 | 3 | 3 | 100.00 |
61 logic unused_req_chk;
62 unreachable assign unused_req_chk = req_chk_i;
63
64 `ASSERT_INIT(CheckNGreaterZero_A, N > 0)
65
66 // this case is basically just a bypass
67 if (N == 1) begin : gen_degenerate_case
68
69 assign valid_o = req_i[0];
70 assign data_o = data_i[0];
71 assign gnt_o[0] = valid_o & ready_i;
72 assign idx_o = '0;
73
74 end else begin : gen_normal_case
75
76 // align to powers of 2 for simplicity
77 // a full binary tree with N levels has 2**N + 2**N-1 nodes
78 logic [2**(IdxW+1)-2:0] req_tree;
79 logic [2**(IdxW+1)-2:0] prio_tree;
80 logic [2**(IdxW+1)-2:0] sel_tree;
81 logic [2**(IdxW+1)-2:0] mask_tree;
82 logic [2**(IdxW+1)-2:0][IdxW-1:0] idx_tree;
83 logic [2**(IdxW+1)-2:0][DW-1:0] data_tree;
84 logic [N-1:0] prio_mask_d, prio_mask_q;
85
86 for (genvar level = 0; level < IdxW+1; level++) begin : gen_tree
87 //
88 // level+1 C0 C1 <- "Base1" points to the first node on "level+1",
89 // \ / these nodes are the children of the nodes one level below
90 // level Pa <- "Base0", points to the first node on "level",
91 // these nodes are the parents of the nodes one level above
92 //
93 // hence we have the following indices for the Pa, C0, C1 nodes:
94 // Pa = 2**level - 1 + offset = Base0 + offset
95 // C0 = 2**(level+1) - 1 + 2*offset = Base1 + 2*offset
96 // C1 = 2**(level+1) - 1 + 2*offset + 1 = Base1 + 2*offset + 1
97 //
98 localparam int Base0 = (2**level)-1;
99 localparam int Base1 = (2**(level+1))-1;
100
101 for (genvar offset = 0; offset < 2**level; offset++) begin : gen_level
102 localparam int Pa = Base0 + offset;
103 localparam int C0 = Base1 + 2*offset;
104 localparam int C1 = Base1 + 2*offset + 1;
105
106 // this assigns the gated interrupt source signals, their
107 // corresponding IDs and priorities to the tree leafs
108 if (level == IdxW) begin : gen_leafs
109 if (offset < N) begin : gen_assign
110 // forward path (requests and data)
111 // all requests inputs are assigned to the request tree
112 2/2 assign req_tree[Pa] = req_i[offset];
Tests: T1 T2 T3 | T1 T2 T3
113 // we basically split the incoming request vector into two halves with the following
114 // priority assignment. the prio_mask_q register contains a prefix sum that has been
115 // computed using the last winning index, and hence masks out all requests at offsets
116 // lower or equal the previously granted index. hence, all higher indices are considered
117 // first in the arbitration tree nodes below, before considering the lower indices.
118 2/2 assign prio_tree[Pa] = req_i[offset] & prio_mask_q[offset];
Tests: T1 T2 T3 | T1 T2 T3
119 // input for the index muxes (used to compute the winner index)
120 assign idx_tree[Pa] = offset;
121 // input for the data muxes
122 2/2 assign data_tree[Pa] = data_i[offset];
Tests: T1 T2 T3 | T1 T2 T3
123
124 // backward path (grants and prefix sum)
125 // grant if selected, ready and request asserted
126 unreachable assign gnt_o[offset] = req_i[offset] & sel_tree[Pa] & ready_i;
127 // only update mask if there is a valid request
128 2/2 assign prio_mask_d[offset] = (|req_i) ?
Tests: T1 T2 T3 | T1 T2 T3
129 mask_tree[Pa] | sel_tree[Pa] & ~ready_i :
130 prio_mask_q[offset];
131 end else begin : gen_tie_off
132 // forward path
133 assign req_tree[Pa] = '0;
134 assign prio_tree[Pa] = '0;
135 assign idx_tree[Pa] = '0;
136 assign data_tree[Pa] = '0;
137 logic unused_sigs;
138 assign unused_sigs = ^{mask_tree[Pa],
139 sel_tree[Pa]};
140 end
141 // this creates the node assignments
142 end else begin : gen_nodes
143 // local helper variable
144 logic sel;
145
146 // forward path (requests and data)
147 // each node looks at its two children, and selects the one with higher priority
148 1/1 assign sel = ~req_tree[C0] | ~prio_tree[C0] & prio_tree[C1];
Tests: T1 T2 T3
149 // propagate requests
150 1/1 assign req_tree[Pa] = req_tree[C0] | req_tree[C1];
Tests: T1 T2 T3
151 1/1 assign prio_tree[Pa] = prio_tree[C1] | prio_tree[C0];
Tests: T1 T2 T3
152 // data and index muxes
153 // Note: these ternaries have triggered a synthesis bug in Vivado versions older
154 // than 2020.2. If the problem resurfaces again, have a look at issue #1408.
155 1/1 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
Tests: T1 T2 T3
156 1/1 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
Tests: T1 T2 T3
157
158 // backward path (grants and prefix sum)
159 // this propagates the selction index back and computes a hot one mask
160 1/1 assign sel_tree[C0] = sel_tree[Pa] & ~sel;
Tests: T1 T2 T3
161 1/1 assign sel_tree[C1] = sel_tree[Pa] & sel;
Tests: T1 T2 T3
162 // this performs a prefix sum for masking the input requests in the next cycle
163 unreachable assign mask_tree[C0] = mask_tree[Pa];
164 1/1 assign mask_tree[C1] = mask_tree[Pa] | sel_tree[C0];
Tests: T1 T2 T3
165 end
166 end : gen_level
167 end : gen_tree
168
169 // the results can be found at the tree root
170 if (EnDataPort) begin : gen_data_port
171 1/1 assign data_o = data_tree[0];
Tests: T1 T2 T3
172 end else begin : gen_no_dataport
173 logic [DW-1:0] unused_data;
174 assign unused_data = data_tree[0];
175 assign data_o = '1;
176 end
177
178 // This index is unused.
179 logic unused_prio_tree;
180 1/1 assign unused_prio_tree = prio_tree[0];
Tests: T1 T2 T3
181
182 1/1 assign idx_o = idx_tree[0];
Tests: T1 T2 T3
183 1/1 assign valid_o = req_tree[0];
Tests: T1 T2 T3
184
185 // the select tree computes a hot one signal that indicates which request is currently selected
186 assign sel_tree[0] = 1'b1;
187 // the mask tree is basically a prefix sum of the hot one select signal computed above
188 assign mask_tree[0] = 1'b0;
189
190 always_ff @(posedge clk_i or negedge rst_ni) begin : p_mask_reg
191 1/1 if (!rst_ni) begin
Tests: T1 T2 T3
192 1/1 prio_mask_q <= '0;
Tests: T1 T2 T3
193 end else begin
194 1/1 prio_mask_q <= prio_mask_d;
Tests: T1 T2 T3
Cond Coverage for Instance : tb.dut.u_eflash.u_scramble.u_prim_arbiter_tree_calc.gen_input_bufs[1].gen_rr_arbiter.u_arb
| Total | Covered | Percent |
Conditions | 43 | 42 | 97.67 |
Logical | 43 | 42 | 97.67 |
Non-Logical | 0 | 0 | |
Event | 0 | 0 | |
LINE 118
EXPRESSION (req_i[0] & gen_normal_case.prio_mask_q[0])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Not Covered | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 118
EXPRESSION (req_i[1] & gen_normal_case.prio_mask_q[1])
----1--- ---------------2--------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T20,T14,T22 |
1 | 1 | Covered | T20,T14,T22 |
LINE 126
EXPRESSION (req_i[0] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Unreachable | |
1 | 0 | 1 | Unreachable | T17,T43,T65 |
1 | 1 | 0 | Covered | T1,T2,T3 |
1 | 1 | 1 | Unreachable | T1,T2,T3 |
LINE 126
EXPRESSION (req_i[1] & gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ready_i)
----1--- ----------------------------------2---------------------------------- ---3---
-1- | -2- | -3- | Status | Tests |
0 | 1 | 1 | Unreachable | |
1 | 0 | 1 | Unreachable | T17,T43,T65 |
1 | 1 | 0 | Covered | T20,T14,T22 |
1 | 1 | 1 | Unreachable | T20,T14,T22 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Unreachable | |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[0].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T20,T14,T22 |
1 | 0 | Unreachable | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 128
EXPRESSION
Number Term
1 ((|req_i)) ? (gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] | (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~ready_i)))) : gen_normal_case.prio_mask_q[1])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 128
SUB-EXPRESSION
Number Term
1 gen_normal_case.mask_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] |
2 (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~ready_i))))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T20,T14,T22 |
0 | 1 | Covered | T20,T14,T22 |
1 | 0 | Covered | T1,T2,T3 |
LINE 128
SUB-EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[1].gen_level[1].Pa] & ((~ready_i)))
----------------------------------1---------------------------------- ------2-----
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Unreachable | T20,T14,T22 |
1 | 1 | Covered | T20,T14,T22 |
LINE 148
EXPRESSION
Number Term
1 ((~gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) |
2 (((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) & gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1]))
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T17,T43,T65 |
1 | 0 | Covered | T1,T2,T3 |
LINE 148
SUB-EXPRESSION
Number Term
1 ((~gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])) &
2 gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1])
-1- | -2- | Status | Tests |
0 | 1 | Covered | T17,T43,T65 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T20,T14,T22 |
LINE 150
EXPRESSION (gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C0] | gen_normal_case.req_tree[gen_normal_case.gen_tree[0].gen_level[0].C1])
----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T20,T14,T22 |
1 | 0 | Covered | T1,T2,T3 |
LINE 151
EXPRESSION (gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] | gen_normal_case.prio_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-----------------------------------1---------------------------------- -----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T20,T14,T22 |
LINE 155
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel ? gen_normal_case.idx_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] : gen_normal_case.idx_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 156
EXPRESSION
Number Term
1 gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel ? gen_normal_case.data_tree[gen_normal_case.gen_tree[0].gen_level[0].C1] : gen_normal_case.data_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 160
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] & ((~gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel)))
----------------------------------1---------------------------------- -----------------------------2-----------------------------
-1- | -2- | Status | Tests |
0 | 1 | Unreachable | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 161
EXPRESSION (gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] & gen_normal_case.gen_tree[0].gen_level[0].gen_nodes.sel)
----------------------------------1---------------------------------- ---------------------------2--------------------------
-1- | -2- | Status | Tests |
0 | 1 | Unreachable | |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T1,T2,T3 |
LINE 164
EXPRESSION (gen_normal_case.mask_tree[gen_normal_case.gen_tree[0].gen_level[0].Pa] | gen_normal_case.sel_tree[gen_normal_case.gen_tree[0].gen_level[0].C0])
-----------------------------------1---------------------------------- ----------------------------------2----------------------------------
-1- | -2- | Status | Tests |
0 | 0 | Covered | T1,T2,T3 |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Unreachable | |
Branch Coverage for Instance : tb.dut.u_eflash.u_scramble.u_prim_arbiter_tree_calc.gen_input_bufs[1].gen_rr_arbiter.u_arb
| Line No. | Total | Covered | Percent |
Branches |
|
10 |
10 |
100.00 |
TERNARY |
155 |
2 |
2 |
100.00 |
TERNARY |
156 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
TERNARY |
128 |
2 |
2 |
100.00 |
IF |
191 |
2 |
2 |
100.00 |
155 assign idx_tree[Pa] = (sel) ? idx_tree[C1] : idx_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
156 assign data_tree[Pa] = (sel) ? data_tree[C1] : data_tree[C0];
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
128 assign prio_mask_d[offset] = (|req_i) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
191 if (!rst_ni) begin
-1-
192 prio_mask_q <= '0;
==>
193 end else begin
194 prio_mask_q <= prio_mask_d;
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
Assert Coverage for Instance : tb.dut.u_eflash.u_scramble.u_prim_arbiter_tree_calc.gen_input_bufs[1].gen_rr_arbiter.u_arb
Assertion Details
CheckHotOne_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
CheckNGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
1040 |
1040 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T13 |
1 |
1 |
0 |
0 |
T14 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T20 |
1 |
1 |
0 |
0 |
T21 |
1 |
1 |
0 |
0 |
T22 |
1 |
1 |
0 |
0 |
GntImpliesReady_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
17403467 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
GntImpliesValid_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
17403467 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
GrantKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
IdxKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
IndexIsCorrect_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
17403467 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
LockArbDecision_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976815 |
17403431 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
NoReadyValidNoGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
351308512 |
0 |
0 |
T1 |
3874 |
3733 |
0 |
0 |
T2 |
10675 |
10524 |
0 |
0 |
T3 |
2553 |
2394 |
0 |
0 |
T7 |
2265 |
2109 |
0 |
0 |
T13 |
1325 |
1168 |
0 |
0 |
T14 |
55235 |
54534 |
0 |
0 |
T15 |
96557 |
96400 |
0 |
0 |
T20 |
1473 |
1198 |
0 |
0 |
T21 |
1922 |
1796 |
0 |
0 |
T22 |
179002 |
173866 |
0 |
0 |
ReadyAndValidImplyGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
17403467 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
ReqAndReadyImplyGrant_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
17403467 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
ReqImpliesValid_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
34806947 |
0 |
0 |
T1 |
3874 |
64 |
0 |
0 |
T2 |
10675 |
64 |
0 |
0 |
T3 |
2553 |
64 |
0 |
0 |
T7 |
2265 |
64 |
0 |
0 |
T13 |
1325 |
64 |
0 |
0 |
T14 |
55235 |
612 |
0 |
0 |
T15 |
96557 |
64 |
0 |
0 |
T20 |
1473 |
212 |
0 |
0 |
T21 |
1922 |
64 |
0 |
0 |
T22 |
179002 |
5072 |
0 |
0 |
ReqStaysHighUntilGranted0_M
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386956418 |
17403236 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |
RoundRobin_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
0 |
0 |
1035 |
ValidKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
386115459 |
0 |
0 |
T1 |
3874 |
3797 |
0 |
0 |
T2 |
10675 |
10588 |
0 |
0 |
T3 |
2553 |
2458 |
0 |
0 |
T7 |
2265 |
2173 |
0 |
0 |
T13 |
1325 |
1232 |
0 |
0 |
T14 |
55235 |
55146 |
0 |
0 |
T15 |
96557 |
96464 |
0 |
0 |
T20 |
1473 |
1410 |
0 |
0 |
T21 |
1922 |
1860 |
0 |
0 |
T22 |
179002 |
178938 |
0 |
0 |
gen_data_port_assertion.DataFlow_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
386976888 |
17403467 |
0 |
0 |
T1 |
3874 |
32 |
0 |
0 |
T2 |
10675 |
32 |
0 |
0 |
T3 |
2553 |
32 |
0 |
0 |
T7 |
2265 |
32 |
0 |
0 |
T13 |
1325 |
32 |
0 |
0 |
T14 |
55235 |
306 |
0 |
0 |
T15 |
96557 |
32 |
0 |
0 |
T20 |
1473 |
106 |
0 |
0 |
T21 |
1922 |
32 |
0 |
0 |
T22 |
179002 |
2536 |
0 |
0 |