Cond split page
dashboard | hierarchy | modlist | groups | tests | asserts
Go back
 LINE       11894
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_BANK1_INFO0_REGWEN_7_OFFSET)
            ------------------------------------1-----------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT9,T12,T53

 LINE       11895
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_BANK1_INFO0_REGWEN_8_OFFSET)
            ------------------------------------1-----------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT9,T12,T20

 LINE       11896
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_BANK1_INFO0_REGWEN_9_OFFSET)
            ------------------------------------1-----------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT12,T67,T48

 LINE       11897
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_BANK1_INFO0_PAGE_CFG_0_OFFSET)
            -------------------------------------1------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       11898
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_BANK1_INFO0_PAGE_CFG_1_OFFSET)
            -------------------------------------1------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       11899
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_BANK1_INFO0_PAGE_CFG_2_OFFSET)
            -------------------------------------1------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       11900
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_BANK1_INFO0_PAGE_CFG_3_OFFSET)
            -------------------------------------1------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       11901
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_BANK1_INFO0_PAGE_CFG_4_OFFSET)
            -------------------------------------1------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       11902
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_BANK1_INFO0_PAGE_CFG_5_OFFSET)
            -------------------------------------1------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       11903
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_BANK1_INFO0_PAGE_CFG_6_OFFSET)
            -------------------------------------1------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       11904
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_BANK1_INFO0_PAGE_CFG_7_OFFSET)
            -------------------------------------1------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       11905
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_BANK1_INFO0_PAGE_CFG_8_OFFSET)
            -------------------------------------1------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       11906
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_BANK1_INFO0_PAGE_CFG_9_OFFSET)
            -------------------------------------1------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       11907
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_BANK1_INFO1_REGWEN_OFFSET)
            -----------------------------------1----------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT12,T53,T20

 LINE       11908
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_BANK1_INFO1_PAGE_CFG_OFFSET)
            ------------------------------------1-----------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       11909
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_BANK1_INFO2_REGWEN_0_OFFSET)
            ------------------------------------1-----------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT12,T53,T20

 LINE       11910
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_BANK1_INFO2_REGWEN_1_OFFSET)
            ------------------------------------1-----------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT12,T20,T67

 LINE       11911
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_BANK1_INFO2_PAGE_CFG_0_OFFSET)
            -------------------------------------1------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       11912
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_BANK1_INFO2_PAGE_CFG_1_OFFSET)
            -------------------------------------1------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       11913
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_HW_INFO_CFG_OVERRIDE_OFFSET)
            ------------------------------------1-----------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT12,T20,T67

 LINE       11914
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_BANK_CFG_REGWEN_OFFSET)
            ---------------------------------1---------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT9,T12,T53

 LINE       11915
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_MP_BANK_CFG_SHADOWED_OFFSET)
            ------------------------------------1-----------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT8,T12,T53

 LINE       11916
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_OP_STATUS_OFFSET)
            ------------------------------1------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       11917
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_STATUS_OFFSET)
            -----------------------------1----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       11918
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_DEBUG_STATE_OFFSET)
            -------------------------------1-------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT9,T12,T20

 LINE       11919
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_ERR_CODE_OFFSET)
            ------------------------------1-----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T9,T31

 LINE       11920
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_STD_FAULT_STATUS_OFFSET)
            ----------------------------------1---------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T6,T12

 LINE       11921
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_FAULT_STATUS_OFFSET)
            --------------------------------1-------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT62,T22,T12

 LINE       11922
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_ERR_ADDR_OFFSET)
            ------------------------------1-----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT12,T20,T67

 LINE       11923
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_ECC_SINGLE_ERR_CNT_OFFSET)
            -----------------------------------1----------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT9,T12,T53

 LINE       11924
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_ECC_SINGLE_ERR_ADDR_0_OFFSET)
            ------------------------------------1------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT12,T53,T67

 LINE       11925
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_ECC_SINGLE_ERR_ADDR_1_OFFSET)
            ------------------------------------1------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT12,T53,T20

 LINE       11926
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_PHY_ALERT_CFG_OFFSET)
            --------------------------------1--------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT12,T20,T67

 LINE       11927
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_PHY_STATUS_OFFSET)
            -------------------------------1------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT12,T53,T20

 LINE       11928
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_SCRATCH_OFFSET)
            -----------------------------1-----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT12,T53,T20

 LINE       11929
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_FIFO_LVL_OFFSET)
            ------------------------------1-----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT12,T20,T67

 LINE       11930
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_FIFO_RST_OFFSET)
            ------------------------------1-----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT12,T53,T20

 LINE       11931
 EXPRESSION (reg_addr == flash_ctrl_reg_pkg::FLASH_CTRL_CURR_FIFO_LVL_OFFSET)
            --------------------------------1--------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT12,T53,T20

 LINE       11934
 EXPRESSION ((reg_re || reg_we) ? ((~|addr_hit)) : 1'b0)
             ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       11934
 SUB-EXPRESSION (reg_re || reg_we)
                 ---1--    ---2--
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       11938
 EXPRESSION 
 Number  Term
      1  reg_we & 
      2  ((addr_hit[0] & ((|(4'b1 & (~reg_be))))) | (addr_hit[1] & ((|(4'b1 & (~reg_be))))) | (addr_hit[2] & ((|(4'b1 & (~reg_be))))) | (addr_hit[3] & ((|(4'b1 & (~reg_be))))) | (addr_hit[4] & ((|(4'b1 & (~reg_be))))) | (addr_hit[5] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[6] & ((|(4'b1 & (~reg_be))))) | (addr_hit[7] & ((|(4'b1 & (~reg_be))))) | (addr_hit[8] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[9] & ((|(4'b0111 & (~reg_be))))) | (addr_hit[10] & ((|(4'b1 & (~reg_be))))) | (addr_hit[11] & ((|(4'b1 & (~reg_be))))) | (addr_hit[12] & ((|(4'b1 & (~reg_be))))) | (addr_hit[13] & ((|(4'b1 & (~reg_be))))) | (addr_hit[14] & ((|(4'b1 & (~reg_be))))) | (addr_hit[15] & ((|(4'b1 & (~reg_be))))) | (addr_hit[16] & ((|(4'b1 & (~reg_be))))) | (addr_hit[17] & ((|(4'b1 & (~reg_be))))) | (addr_hit[18] & ((|(4'b1 & (~reg_be))))) | (addr_hit[19] & ((|(4'b1 & (~reg_be))))) | (addr_hit[20] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[21] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[22] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[23] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[24] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[25] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[26] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[27] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[28] & ((|(4'b0111 & (~reg_be))))) | (addr_hit[29] & ((|(4'b0111 & (~reg_be))))) | (addr_hit[30] & ((|(4'b0111 & (~reg_be))))) | (addr_hit[31] & ((|(4'b0111 & (~reg_be))))) | (addr_hit[32] & ((|(4'b0111 & (~reg_be))))) | (addr_hit[33] & ((|(4'b0111 & (~reg_be))))) | (addr_hit[34] & ((|(4'b0111 & (~reg_be))))) | (addr_hit[35] & ((|(4'b0111 & (~reg_be))))) | (addr_hit[36] & ((|(4'b0111 & (~reg_be))))) | (addr_hit[37] & ((|(4'b1 & (~reg_be))))) | (addr_hit[38] & ((|(4'b1 & (~reg_be))))) | (addr_hit[39] & ((|(4'b1 & (~reg_be))))) | (addr_hit[40] & ((|(4'b1 & (~reg_be))))) | (addr_hit[41] & ((|(4'b1 & (~reg_be))))) | (addr_hit[42] & ((|(4'b1 & (~reg_be))))) | (addr_hit[43] & ((|(4'b1 & (~reg_be))))) | (addr_hit[44] & ((|(4'b1 & (~reg_be))))) | (addr_hit[45] & ((|(4'b1 & (~reg_be))))) | (addr_hit[46] & ((|(4'b1 & (~reg_be))))) | (addr_hit[47] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[48] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[49] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[50] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[51] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[52] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[53] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[54] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[55] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[56] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[57] & ((|(4'b1 & (~reg_be))))) | (addr_hit[58] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[59] & ((|(4'b1 & (~reg_be))))) | (addr_hit[60] & ((|(4'b1 & (~reg_be))))) | (addr_hit[61] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[62] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[63] & ((|(4'b1 & (~reg_be))))) | (addr_hit[64] & ((|(4'b1 & (~reg_be))))) | (addr_hit[65] & ((|(4'b1 & (~reg_be))))) | (addr_hit[66] & ((|(4'b1 & (~reg_be))))) | (addr_hit[67] & ((|(4'b1 & (~reg_be))))) | (addr_hit[68] & ((|(4'b1 & (~reg_be))))) | (addr_hit[69] & ((|(4'b1 & (~reg_be))))) | (addr_hit[70] & ((|(4'b1 & (~reg_be))))) | (addr_hit[71] & ((|(4'b1 & (~reg_be))))) | (addr_hit[72] & ((|(4'b1 & (~reg_be))))) | (addr_hit[73] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[74] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[75] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[76] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[77] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[78] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[79] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[80] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[81] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[82] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[83] & ((|(4'b1 & (~reg_be))))) | (addr_hit[84] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[85] & ((|(4'b1 & (~reg_be))))) | (addr_hit[86] & ((|(4'b1 & (~reg_be))))) | (addr_hit[87] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[88] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[89] & ((|(4'b1 & (~reg_be))))) | (addr_hit[90] & ((|(4'b1 & (~reg_be))))) | (addr_hit[91] & ((|(4'b1 & (~reg_be))))) | (addr_hit[92] & ((|(4'b1 & (~reg_be))))) | (addr_hit[93] & ((|(4'b1 & (~reg_be))))) | (addr_hit[94] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[95] & ((|(4'b1 & (~reg_be))))) | (addr_hit[96] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[97] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[98] & ((|(4'b0111 & (~reg_be))))) | (addr_hit[99] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[100] & ((|(4'b0111 & (~reg_be))))) | (addr_hit[101] & ((|(4'b0111 & (~reg_be))))) | (addr_hit[102] & ((|(4'b1 & (~reg_be))))) | (addr_hit[103] & ((|(4'b1 & (~reg_be))))) | (addr_hit[104] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[105] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[106] & ((|(4'b1 & (~reg_be))))) | (addr_hit[107] & ((|(4'b0011 & (~reg_be)))))))
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT134,T138,T214

 LINE       11938
 SUB-EXPRESSION 
 Number  Term
      1  (addr_hit[0] & ((|(4'b1 & (~reg_be))))) | 
      2  (addr_hit[1] & ((|(4'b1 & (~reg_be))))) | 
      3  (addr_hit[2] & ((|(4'b1 & (~reg_be))))) | 
      4  (addr_hit[3] & ((|(4'b1 & (~reg_be))))) | 
      5  (addr_hit[4] & ((|(4'b1 & (~reg_be))))) | 
      6  (addr_hit[5] & ((|(4'b1111 & (~reg_be))))) | 
      7  (addr_hit[6] & ((|(4'b1 & (~reg_be))))) | 
      8  (addr_hit[7] & ((|(4'b1 & (~reg_be))))) | 
      9  (addr_hit[8] & ((|(4'b1111 & (~reg_be))))) | 
     10  (addr_hit[9] & ((|(4'b0111 & (~reg_be))))) | 
     11  (addr_hit[10] & ((|(4'b1 & (~reg_be))))) | 
     12  (addr_hit[11] & ((|(4'b1 & (~reg_be))))) | 
     13  (addr_hit[12] & ((|(4'b1 & (~reg_be))))) | 
     14  (addr_hit[13] & ((|(4'b1 & (~reg_be))))) | 
     15  (addr_hit[14] & ((|(4'b1 & (~reg_be))))) | 
     16  (addr_hit[15] & ((|(4'b1 & (~reg_be))))) | 
     17  (addr_hit[16] & ((|(4'b1 & (~reg_be))))) | 
     18  (addr_hit[17] & ((|(4'b1 & (~reg_be))))) | 
     19  (addr_hit[18] & ((|(4'b1 & (~reg_be))))) | 
     20  (addr_hit[19] & ((|(4'b1 & (~reg_be))))) | 
     21  (addr_hit[20] & ((|(4'b1111 & (~reg_be))))) | 
     22  (addr_hit[21] & ((|(4'b1111 & (~reg_be))))) | 
     23  (addr_hit[22] & ((|(4'b1111 & (~reg_be))))) | 
     24  (addr_hit[23] & ((|(4'b1111 & (~reg_be))))) | 
     25  (addr_hit[24] & ((|(4'b1111 & (~reg_be))))) | 
     26  (addr_hit[25] & ((|(4'b1111 & (~reg_be))))) | 
     27  (addr_hit[26] & ((|(4'b1111 & (~reg_be))))) | 
     28  (addr_hit[27] & ((|(4'b1111 & (~reg_be))))) | 
     29  (addr_hit[28] & ((|(4'b0111 & (~reg_be))))) | 
     30  (addr_hit[29] & ((|(4'b0111 & (~reg_be))))) | 
     31  (addr_hit[30] & ((|(4'b0111 & (~reg_be))))) | 
     32  (addr_hit[31] & ((|(4'b0111 & (~reg_be))))) | 
     33  (addr_hit[32] & ((|(4'b0111 & (~reg_be))))) | 
     34  (addr_hit[33] & ((|(4'b0111 & (~reg_be))))) | 
     35  (addr_hit[34] & ((|(4'b0111 & (~reg_be))))) | 
     36  (addr_hit[35] & ((|(4'b0111 & (~reg_be))))) | 
     37  (addr_hit[36] & ((|(4'b0111 & (~reg_be))))) | 
     38  (addr_hit[37] & ((|(4'b1 & (~reg_be))))) | 
     39  (addr_hit[38] & ((|(4'b1 & (~reg_be))))) | 
     40  (addr_hit[39] & ((|(4'b1 & (~reg_be))))) | 
     41  (addr_hit[40] & ((|(4'b1 & (~reg_be))))) | 
     42  (addr_hit[41] & ((|(4'b1 & (~reg_be))))) | 
     43  (addr_hit[42] & ((|(4'b1 & (~reg_be))))) | 
     44  (addr_hit[43] & ((|(4'b1 & (~reg_be))))) | 
     45  (addr_hit[44] & ((|(4'b1 & (~reg_be))))) | 
     46  (addr_hit[45] & ((|(4'b1 & (~reg_be))))) | 
     47  (addr_hit[46] & ((|(4'b1 & (~reg_be))))) | 
     48  (addr_hit[47] & ((|(4'b1111 & (~reg_be))))) | 
     49  (addr_hit[48] & ((|(4'b1111 & (~reg_be))))) | 
     50  (addr_hit[49] & ((|(4'b1111 & (~reg_be))))) | 
     51  (addr_hit[50] & ((|(4'b1111 & (~reg_be))))) | 
     52  (addr_hit[51] & ((|(4'b1111 & (~reg_be))))) | 
     53  (addr_hit[52] & ((|(4'b1111 & (~reg_be))))) | 
     54  (addr_hit[53] & ((|(4'b1111 & (~reg_be))))) | 
     55  (addr_hit[54] & ((|(4'b1111 & (~reg_be))))) | 
     56  (addr_hit[55] & ((|(4'b1111 & (~reg_be))))) | 
     57  (addr_hit[56] & ((|(4'b1111 & (~reg_be))))) | 
     58  (addr_hit[57] & ((|(4'b1 & (~reg_be))))) | 
     59  (addr_hit[58] & ((|(4'b1111 & (~reg_be))))) | 
     60  (addr_hit[59] & ((|(4'b1 & (~reg_be))))) | 
     61  (addr_hit[60] & ((|(4'b1 & (~reg_be))))) | 
     62  (addr_hit[61] & ((|(4'b1111 & (~reg_be))))) | 
     63  (addr_hit[62] & ((|(4'b1111 & (~reg_be))))) | 
     64  (addr_hit[63] & ((|(4'b1 & (~reg_be))))) | 
     65  (addr_hit[64] & ((|(4'b1 & (~reg_be))))) | 
     66  (addr_hit[65] & ((|(4'b1 & (~reg_be))))) | 
     67  (addr_hit[66] & ((|(4'b1 & (~reg_be))))) | 
     68  (addr_hit[67] & ((|(4'b1 & (~reg_be))))) | 
     69  (addr_hit[68] & ((|(4'b1 & (~reg_be))))) | 
     70  (addr_hit[69] & ((|(4'b1 & (~reg_be))))) | 
     71  (addr_hit[70] & ((|(4'b1 & (~reg_be))))) | 
     72  (addr_hit[71] & ((|(4'b1 & (~reg_be))))) | 
     73  (addr_hit[72] & ((|(4'b1 & (~reg_be))))) | 
     74  (addr_hit[73] & ((|(4'b1111 & (~reg_be))))) | 
     75  (addr_hit[74] & ((|(4'b1111 & (~reg_be))))) | 
     76  (addr_hit[75] & ((|(4'b1111 & (~reg_be))))) | 
     77  (addr_hit[76] & ((|(4'b1111 & (~reg_be))))) | 
     78  (addr_hit[77] & ((|(4'b1111 & (~reg_be))))) | 
     79  (addr_hit[78] & ((|(4'b1111 & (~reg_be))))) | 
     80  (addr_hit[79] & ((|(4'b1111 & (~reg_be))))) | 
     81  (addr_hit[80] & ((|(4'b1111 & (~reg_be))))) | 
     82  (addr_hit[81] & ((|(4'b1111 & (~reg_be))))) | 
     83  (addr_hit[82] & ((|(4'b1111 & (~reg_be))))) | 
     84  (addr_hit[83] & ((|(4'b1 & (~reg_be))))) | 
     85  (addr_hit[84] & ((|(4'b1111 & (~reg_be))))) | 
     86  (addr_hit[85] & ((|(4'b1 & (~reg_be))))) | 
     87  (addr_hit[86] & ((|(4'b1 & (~reg_be))))) | 
     88  (addr_hit[87] & ((|(4'b1111 & (~reg_be))))) | 
     89  (addr_hit[88] & ((|(4'b1111 & (~reg_be))))) | 
     90  (addr_hit[89] & ((|(4'b1 & (~reg_be))))) | 
     91  (addr_hit[90] & ((|(4'b1 & (~reg_be))))) | 
     92  (addr_hit[91] & ((|(4'b1 & (~reg_be))))) | 
     93  (addr_hit[92] & ((|(4'b1 & (~reg_be))))) | 
     94  (addr_hit[93] & ((|(4'b1 & (~reg_be))))) | 
     95  (addr_hit[94] & ((|(4'b0011 & (~reg_be))))) | 
     96  (addr_hit[95] & ((|(4'b1 & (~reg_be))))) | 
     97  (addr_hit[96] & ((|(4'b0011 & (~reg_be))))) | 
     98  (addr_hit[97] & ((|(4'b0011 & (~reg_be))))) | 
     99  (addr_hit[98] & ((|(4'b0111 & (~reg_be))))) | 
    100  (addr_hit[99] & ((|(4'b0011 & (~reg_be))))) | 
    101  (addr_hit[100] & ((|(4'b0111 & (~reg_be))))) | 
    102  (addr_hit[101] & ((|(4'b0111 & (~reg_be))))) | 
    103  (addr_hit[102] & ((|(4'b1 & (~reg_be))))) | 
    104  (addr_hit[103] & ((|(4'b1 & (~reg_be))))) | 
    105  (addr_hit[104] & ((|(4'b1111 & (~reg_be))))) | 
    106  (addr_hit[105] & ((|(4'b0011 & (~reg_be))))) | 
    107  (addr_hit[106] & ((|(4'b1 & (~reg_be))))) | 
    108  (addr_hit[107] & ((|(4'b0011 & (~reg_be))))))
Sensitive Expression == 1StatusTests
ALL ZEROSCoveredT1,T2,T3
108 (addr_hit[107] & ((|(4...CoveredT12,T53,T20
107 (addr_hit[106] & ((|(4...CoveredT12,T53,T20
106 (addr_hit[105] & ((|(4...CoveredT12,T20,T67
105 (addr_hit[104] & ((|(4...CoveredT12,T53,T20
104 (addr_hit[103] & ((|(4...CoveredT12,T53,T67
103 (addr_hit[102] & ((|(4...CoveredT12,T20,T67
102 (addr_hit[101] & ((|(4...CoveredT12,T53,T67
101 (addr_hit[100] & ((|(4...CoveredT12,T53,T67
100 (addr_hit[99] & ((|(4'...CoveredT12,T53,T30
99 (addr_hit[98] & ((|(4'...CoveredT12,T20,T67
98 (addr_hit[97] & ((|(4'...CoveredT62,T22,T12
97 (addr_hit[96] & ((|(4'...CoveredT4,T6,T12
96 (addr_hit[95] & ((|(4'...CoveredT9,T22,T12
95 (addr_hit[94] & ((|(4'...CoveredT9,T12,T20
94 (addr_hit[93] & ((|(4'...CoveredT12,T20,T67
93 (addr_hit[92] & ((|(4'...CoveredT1,T2,T3
92 (addr_hit[91] & ((|(4'...CoveredT12,T53,T20
91 (addr_hit[90] & ((|(4'...CoveredT9,T12,T53
90 (addr_hit[89] & ((|(4'...CoveredT12,T20,T67
89 (addr_hit[88] & ((|(4'...CoveredT9,T12,T53
88 (addr_hit[87] & ((|(4'...CoveredT12,T20,T67
87 (addr_hit[86] & ((|(4'...CoveredT12,T67,T48
86 (addr_hit[85] & ((|(4'...CoveredT12,T20,T67
85 (addr_hit[84] & ((|(4'...CoveredT12,T20,T67
84 (addr_hit[83] & ((|(4'...CoveredT12,T53,T20
83 (addr_hit[82] & ((|(4'...CoveredT9,T12,T20
82 (addr_hit[81] & ((|(4'...CoveredT9,T12,T20
81 (addr_hit[80] & ((|(4'...CoveredT12,T20,T67
80 (addr_hit[79] & ((|(4'...CoveredT9,T12,T53
79 (addr_hit[78] & ((|(4'...CoveredT9,T12,T53
78 (addr_hit[77] & ((|(4'...CoveredT12,T53,T20
77 (addr_hit[76] & ((|(4'...CoveredT12,T53,T20
76 (addr_hit[75] & ((|(4'...CoveredT9,T12,T20
75 (addr_hit[74] & ((|(4'...CoveredT12,T53,T20
74 (addr_hit[73] & ((|(4'...CoveredT9,T12,T53
73 (addr_hit[72] & ((|(4'...CoveredT12,T67,T48
72 (addr_hit[71] & ((|(4'...CoveredT9,T12,T20
71 (addr_hit[70] & ((|(4'...CoveredT9,T12,T53
70 (addr_hit[69] & ((|(4'...CoveredT12,T53,T67
69 (addr_hit[68] & ((|(4'...CoveredT12,T20,T67
68 (addr_hit[67] & ((|(4'...CoveredT12,T53,T20
67 (addr_hit[66] & ((|(4'...CoveredT12,T20,T67
66 (addr_hit[65] & ((|(4'...CoveredT12,T20,T67
65 (addr_hit[64] & ((|(4'...CoveredT12,T67,T48
64 (addr_hit[63] & ((|(4'...CoveredT12,T20,T67
63 (addr_hit[62] & ((|(4'...CoveredT9,T12,T20
62 (addr_hit[61] & ((|(4'...CoveredT9,T12,T20
61 (addr_hit[60] & ((|(4'...CoveredT12,T53,T20
60 (addr_hit[59] & ((|(4'...CoveredT12,T53,T20
59 (addr_hit[58] & ((|(4'...CoveredT12,T20,T67
58 (addr_hit[57] & ((|(4'...CoveredT12,T53,T20
57 (addr_hit[56] & ((|(4'...CoveredT12,T53,T20
56 (addr_hit[55] & ((|(4'...CoveredT9,T12,T20
55 (addr_hit[54] & ((|(4'...CoveredT9,T12,T53
54 (addr_hit[53] & ((|(4'...CoveredT12,T20,T67
53 (addr_hit[52] & ((|(4'...CoveredT12,T53,T20
52 (addr_hit[51] & ((|(4'...CoveredT12,T53,T20
51 (addr_hit[50] & ((|(4'...CoveredT9,T12,T53
50 (addr_hit[49] & ((|(4'...CoveredT12,T20,T67
49 (addr_hit[48] & ((|(4'...CoveredT9,T12,T53
48 (addr_hit[47] & ((|(4'...CoveredT12,T53,T20
47 (addr_hit[46] & ((|(4'...CoveredT12,T20,T67
46 (addr_hit[45] & ((|(4'...CoveredT9,T12,T20
45 (addr_hit[44] & ((|(4'...CoveredT12,T20,T67
44 (addr_hit[43] & ((|(4'...CoveredT9,T12,T20
43 (addr_hit[42] & ((|(4'...CoveredT12,T20,T67
42 (addr_hit[41] & ((|(4'...CoveredT9,T12,T20
41 (addr_hit[40] & ((|(4'...CoveredT12,T20,T67
40 (addr_hit[39] & ((|(4'...CoveredT12,T53,T20
39 (addr_hit[38] & ((|(4'...CoveredT12,T20,T67
38 (addr_hit[37] & ((|(4'...CoveredT12,T53,T67
37 (addr_hit[36] & ((|(4'...CoveredT12,T53,T20
36 (addr_hit[35] & ((|(4'...CoveredT12,T53,T20
35 (addr_hit[34] & ((|(4'...CoveredT9,T12,T20
34 (addr_hit[33] & ((|(4'...CoveredT12,T20,T67
33 (addr_hit[32] & ((|(4'...CoveredT9,T12,T20
32 (addr_hit[31] & ((|(4'...CoveredT12,T53,T67
31 (addr_hit[30] & ((|(4'...CoveredT9,T12,T20
30 (addr_hit[29] & ((|(4'...CoveredT9,T12,T20
29 (addr_hit[28] & ((|(4'...CoveredT12,T53,T20
28 (addr_hit[27] & ((|(4'...CoveredT9,T12,T53
27 (addr_hit[26] & ((|(4'...CoveredT12,T53,T20
26 (addr_hit[25] & ((|(4'...CoveredT12,T53,T20
25 (addr_hit[24] & ((|(4'...CoveredT9,T12,T53
24 (addr_hit[23] & ((|(4'...CoveredT9,T12,T20
23 (addr_hit[22] & ((|(4'...CoveredT12,T53,T20
22 (addr_hit[21] & ((|(4'...CoveredT12,T20,T67
21 (addr_hit[20] & ((|(4'...CoveredT12,T53,T20
20 (addr_hit[19] & ((|(4'...CoveredT12,T67,T48
19 (addr_hit[18] & ((|(4'...CoveredT9,T12,T53
18 (addr_hit[17] & ((|(4'...CoveredT12,T20,T67
17 (addr_hit[16] & ((|(4'...CoveredT12,T53,T20
16 (addr_hit[15] & ((|(4'...CoveredT12,T53,T67
15 (addr_hit[14] & ((|(4'...CoveredT12,T20,T67
14 (addr_hit[13] & ((|(4'...CoveredT12,T20,T67
13 (addr_hit[12] & ((|(4'...CoveredT9,T12,T53
12 (addr_hit[11] & ((|(4'...CoveredT12,T20,T67
11 (addr_hit[10] & ((|(4'...CoveredT12,T53,T20
10 (addr_hit[9] & ((|(4'b...CoveredT12,T20,T67
9 (addr_hit[8] & ((|(4'b...CoveredT9,T12,T53
8 (addr_hit[7] & ((|(4'b...CoveredT12,T53,T20
7 (addr_hit[6] & ((|(4'b...CoveredT12,T67,T48
6 (addr_hit[5] & ((|(4'b...CoveredT9,T12,T53
5 (addr_hit[4] & ((|(4'b...CoveredT9,T12,T53
4 (addr_hit[3] & ((|(4'b...CoveredT12,T67,T48
3 (addr_hit[2] & ((|(4'b...CoveredT9,T12,T20
2 (addr_hit[1] & ((|(4'b...CoveredT12,T53,T20
1 (addr_hit[0] & ((|(4'b...CoveredT1,T2,T4

 LINE       11938
 SUB-EXPRESSION (addr_hit[0] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T4

 LINE       11938
 SUB-EXPRESSION (addr_hit[1] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT9,T31,T25
11CoveredT12,T53,T20

 LINE       11938
 SUB-EXPRESSION (addr_hit[2] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT12,T20,T67
11CoveredT9,T12,T20

 LINE       11938
 SUB-EXPRESSION (addr_hit[3] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT12,T120,T67
11CoveredT12,T67,T48

 LINE       11938
 SUB-EXPRESSION (addr_hit[4] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT12,T53,T20
11CoveredT9,T12,T53

 LINE       11938
 SUB-EXPRESSION (addr_hit[5] & ((|(4'b1111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT8,T67,T48
11CoveredT9,T12,T53

 LINE       11938
 SUB-EXPRESSION (addr_hit[6] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT12,T67,T48

 LINE       11938
 SUB-EXPRESSION (addr_hit[7] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT12,T67,T48
11CoveredT12,T53,T20

 LINE       11938
 SUB-EXPRESSION (addr_hit[8] & ((|(4'b1111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT9,T12,T53

 LINE       11938
 SUB-EXPRESSION (addr_hit[9] & ((|(4'b0111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT12,T20,T67

 LINE       11938
 SUB-EXPRESSION (addr_hit[10] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT12,T20,T67
11CoveredT12,T53,T20

 LINE       11938
 SUB-EXPRESSION (addr_hit[11] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT12,T67,T48
11CoveredT12,T20,T67

 LINE       11938
 SUB-EXPRESSION (addr_hit[12] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT12,T20,T67
11CoveredT9,T12,T53

 LINE       11938
 SUB-EXPRESSION (addr_hit[13] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT9,T12,T20
11CoveredT12,T20,T67

 LINE       11938
 SUB-EXPRESSION (addr_hit[14] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT9,T12,T53
11CoveredT12,T20,T67

 LINE       11938
 SUB-EXPRESSION (addr_hit[15] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT12,T53,T20
11CoveredT12,T53,T67

 LINE       11938
 SUB-EXPRESSION (addr_hit[16] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT12,T20,T67
11CoveredT12,T53,T20

 LINE       11938
 SUB-EXPRESSION (addr_hit[17] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT12,T20,T67
11CoveredT12,T20,T67

 LINE       11938
 SUB-EXPRESSION (addr_hit[18] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT12,T20,T67
11CoveredT9,T12,T53

 LINE       11938
 SUB-EXPRESSION (addr_hit[19] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT12,T20,T67
11CoveredT12,T67,T48

 LINE       11938
 SUB-EXPRESSION (addr_hit[20] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT12,T53,T20

 LINE       11938
 SUB-EXPRESSION (addr_hit[21] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT12,T20,T67

 LINE       11938
 SUB-EXPRESSION (addr_hit[22] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT12,T53,T20

 LINE       11938
 SUB-EXPRESSION (addr_hit[23] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT9,T12,T20

 LINE       11938
 SUB-EXPRESSION (addr_hit[24] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT9,T12,T53

 LINE       11938
 SUB-EXPRESSION (addr_hit[25] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT12,T53,T20

 LINE       11938
 SUB-EXPRESSION (addr_hit[26] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT12,T53,T20

 LINE       11938
 SUB-EXPRESSION (addr_hit[27] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT9,T12,T53

 LINE       11938
 SUB-EXPRESSION (addr_hit[28] & ((|(4'b0111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT12,T53,T20

 LINE       11938
 SUB-EXPRESSION (addr_hit[29] & ((|(4'b0111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT9,T12,T20

 LINE       11938
 SUB-EXPRESSION (addr_hit[30] & ((|(4'b0111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT9,T12,T20

 LINE       11938
 SUB-EXPRESSION (addr_hit[31] & ((|(4'b0111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT12,T53,T67
0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%