Line Coverage for Module :
prim_mubi4_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Module :
prim_mubi4_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
16665 |
16665 |
0 |
0 |
T1 |
33 |
33 |
0 |
0 |
T2 |
33 |
33 |
0 |
0 |
T3 |
33 |
33 |
0 |
0 |
T4 |
33 |
33 |
0 |
0 |
T5 |
33 |
33 |
0 |
0 |
T6 |
33 |
33 |
0 |
0 |
T7 |
33 |
33 |
0 |
0 |
T8 |
33 |
33 |
0 |
0 |
T9 |
33 |
33 |
0 |
0 |
T10 |
33 |
33 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
377211390 |
217562231 |
0 |
0 |
T1 |
145013 |
111740 |
0 |
0 |
T2 |
60033 |
20783 |
0 |
0 |
T3 |
60345 |
34579 |
0 |
0 |
T4 |
68552 |
36304 |
0 |
0 |
T5 |
611573 |
281684 |
0 |
0 |
T6 |
424664 |
403180 |
0 |
0 |
T7 |
223061 |
19496 |
0 |
0 |
T8 |
56389 |
37507 |
0 |
0 |
T9 |
172665 |
139455 |
0 |
0 |
T10 |
177952 |
27540 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
377211390 |
217562231 |
0 |
0 |
T1 |
145013 |
111740 |
0 |
0 |
T2 |
60033 |
20783 |
0 |
0 |
T3 |
60345 |
34579 |
0 |
0 |
T4 |
68552 |
36304 |
0 |
0 |
T5 |
611573 |
281684 |
0 |
0 |
T6 |
424664 |
403180 |
0 |
0 |
T7 |
223061 |
19496 |
0 |
0 |
T8 |
56389 |
37507 |
0 |
0 |
T9 |
172665 |
139455 |
0 |
0 |
T10 |
177952 |
27540 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_ctrl_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_ctrl_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12818302 |
7649911 |
0 |
0 |
T1 |
4629 |
3676 |
0 |
0 |
T2 |
1953 |
783 |
0 |
0 |
T3 |
2425 |
1779 |
0 |
0 |
T4 |
2312 |
1296 |
0 |
0 |
T5 |
23957 |
12596 |
0 |
0 |
T6 |
12888 |
12236 |
0 |
0 |
T7 |
7189 |
776 |
0 |
0 |
T8 |
1797 |
1155 |
0 |
0 |
T9 |
5369 |
4415 |
0 |
0 |
T10 |
5504 |
948 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12818302 |
7649911 |
0 |
0 |
T1 |
4629 |
3676 |
0 |
0 |
T2 |
1953 |
783 |
0 |
0 |
T3 |
2425 |
1779 |
0 |
0 |
T4 |
2312 |
1296 |
0 |
0 |
T5 |
23957 |
12596 |
0 |
0 |
T6 |
12888 |
12236 |
0 |
0 |
T7 |
7189 |
776 |
0 |
0 |
T8 |
1797 |
1155 |
0 |
0 |
T9 |
5369 |
4415 |
0 |
0 |
T10 |
5504 |
948 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_por.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_daon_por.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_por_io.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_daon_por_io.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_por_io_div2.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_daon_por_io_div2.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_por_io_div4.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_daon_por_io_div4.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_por_usb.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_daon_por_usb.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_lc.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_daon_lc.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_lc.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_d0_lc.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_lc_shadowed.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_daon_lc_shadowed.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_lc_shadowed.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_d0_lc_shadowed.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_lc_aon.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_daon_lc_aon.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_lc_io.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_daon_lc_io.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_lc_io.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_d0_lc_io.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_lc_io_div2.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_daon_lc_io_div2.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_lc_io_div2.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_d0_lc_io_div2.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_lc_io_div4.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_daon_lc_io_div4.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_lc_io_div4.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_d0_lc_io_div4.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_lc_io_div4_shadowed.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_daon_lc_io_div4_shadowed.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_lc_io_div4_shadowed.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_d0_lc_io_div4_shadowed.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_lc_usb.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_daon_lc_usb.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_lc_usb.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_d0_lc_usb.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_sys.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_d0_sys.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_sys_io_div4.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_daon_sys_io_div4.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_spi_device.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_d0_spi_device.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_spi_host0.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_d0_spi_host0.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_spi_host1.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_d0_spi_host1.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_usb.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_d0_usb.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_usb_aon.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_d0_usb_aon.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_i2c0.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_d0_i2c0.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_i2c1.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_d0_i2c1.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_i2c2.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.u_d0_i2c2.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.gen_rst_por_aon[0].u_por_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.gen_rst_por_aon[0].u_por_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
Line Coverage for Instance : tb.dut.gen_rst_por_aon[1].u_por_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T4 T5
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T4 T5
Assert Coverage for Instance : tb.dut.gen_rst_por_aon[1].u_por_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11387284 |
6559760 |
0 |
0 |
T1 |
4387 |
3377 |
0 |
0 |
T2 |
1815 |
625 |
0 |
0 |
T3 |
1810 |
1025 |
0 |
0 |
T4 |
2070 |
1094 |
0 |
0 |
T5 |
18363 |
8409 |
0 |
0 |
T6 |
12868 |
12217 |
0 |
0 |
T7 |
6746 |
585 |
0 |
0 |
T8 |
1706 |
1136 |
0 |
0 |
T9 |
5228 |
4220 |
0 |
0 |
T10 |
5389 |
831 |
0 |
0 |