Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : edn_reg_top
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_edn_0.1/rtl/edn_reg_top.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_reg 100.00 100.00 100.00 100.00 100.00



Module Instance : tb.dut.u_reg

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.07 96.30 98.49 100.00 95.54 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
83.59 83.33 100.00 67.44 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_alert_test_fatal_alert 100.00 100.00
u_alert_test_recov_alert 100.00 100.00
u_boot_gen_cmd 100.00 100.00 100.00 100.00
u_boot_ins_cmd 100.00 100.00 100.00 100.00
u_chk 100.00 100.00 100.00 100.00
u_ctrl_auto_req_mode 100.00 100.00 100.00 100.00
u_ctrl_boot_req_mode 100.00 100.00 100.00 100.00
u_ctrl_cmd_fifo_rst 100.00 100.00 100.00 100.00
u_ctrl_edn_enable 100.00 100.00 100.00 100.00
u_err_code_edn_ack_sm_err 96.30 88.89 100.00 100.00
u_err_code_edn_cntr_err 96.30 88.89 100.00 100.00
u_err_code_edn_main_sm_err 96.30 88.89 100.00 100.00
u_err_code_fifo_read_err 96.30 88.89 100.00 100.00
u_err_code_fifo_state_err 96.30 88.89 100.00 100.00
u_err_code_fifo_write_err 96.30 88.89 100.00 100.00
u_err_code_sfifo_gencmd_err 96.30 88.89 100.00 100.00
u_err_code_sfifo_rescmd_err 96.30 88.89 100.00 100.00
u_err_code_test 100.00 100.00 100.00 100.00
u_err_code_test0_qe 100.00 100.00 100.00
u_generate_cmd 100.00 100.00
u_intr_enable_edn_cmd_req_done 100.00 100.00 100.00 100.00
u_intr_enable_edn_fatal_err 100.00 100.00 100.00 100.00
u_intr_state_edn_cmd_req_done 100.00 100.00 100.00 100.00
u_intr_state_edn_fatal_err 100.00 100.00 100.00 100.00
u_intr_test_edn_cmd_req_done 100.00 100.00
u_intr_test_edn_fatal_err 100.00 100.00
u_main_sm_state 62.59 77.78 50.00 60.00
u_max_num_reqs_between_reseeds 100.00 100.00 100.00 100.00
u_max_num_reqs_between_reseeds0_qe 100.00 100.00 100.00
u_prim_reg_we_check 100.00 100.00 100.00
u_recov_alert_sts_auto_req_mode_field_alert 100.00 100.00 100.00 100.00
u_recov_alert_sts_boot_req_mode_field_alert 100.00 100.00 100.00 100.00
u_recov_alert_sts_cmd_fifo_rst_field_alert 100.00 100.00 100.00 100.00
u_recov_alert_sts_edn_bus_cmp_alert 100.00 100.00 100.00 100.00
u_recov_alert_sts_edn_enable_field_alert 100.00 100.00 100.00 100.00
u_reg_if 98.67 97.14 97.53 100.00 100.00
u_regwen 100.00 100.00 100.00 100.00
u_reseed_cmd 100.00 100.00
u_rsp_intg_gen 100.00 100.00 100.00
u_sw_cmd_req 100.00 100.00
u_sw_cmd_sts_cmd_ack 62.59 77.78 50.00 60.00
u_sw_cmd_sts_cmd_rdy 62.59 77.78 50.00 60.00
u_sw_cmd_sts_cmd_reg_rdy 62.59 77.78 50.00 60.00
u_sw_cmd_sts_cmd_sts 62.59 77.78 50.00 60.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : edn_reg_top
Line No.TotalCoveredPercent
TOTAL143143100.00
ALWAYS6844100.00
CONT_ASSIGN7711100.00
CONT_ASSIGN8911100.00
CONT_ASSIGN9011100.00
CONT_ASSIGN11811100.00
CONT_ASSIGN11911100.00
CONT_ASSIGN31111100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34811100.00
CONT_ASSIGN36311100.00
CONT_ASSIGN37911100.00
CONT_ASSIGN41311100.00
CONT_ASSIGN58211100.00
CONT_ASSIGN59611100.00
CONT_ASSIGN71211100.00
CONT_ASSIGN72611100.00
CONT_ASSIGN73211100.00
CONT_ASSIGN74611100.00
CONT_ASSIGN78611100.00
CONT_ASSIGN118111100.00
ALWAYS12151818100.00
CONT_ASSIGN123511100.00
ALWAYS123911100.00
CONT_ASSIGN126011100.00
CONT_ASSIGN126211100.00
CONT_ASSIGN126411100.00
CONT_ASSIGN126511100.00
CONT_ASSIGN126711100.00
CONT_ASSIGN126911100.00
CONT_ASSIGN127011100.00
CONT_ASSIGN127211100.00
CONT_ASSIGN127411100.00
CONT_ASSIGN127511100.00
CONT_ASSIGN127711100.00
CONT_ASSIGN127911100.00
CONT_ASSIGN128011100.00
CONT_ASSIGN128211100.00
CONT_ASSIGN128311100.00
CONT_ASSIGN128511100.00
CONT_ASSIGN128711100.00
CONT_ASSIGN128911100.00
CONT_ASSIGN129111100.00
CONT_ASSIGN129211100.00
CONT_ASSIGN129411100.00
CONT_ASSIGN129511100.00
CONT_ASSIGN129711100.00
CONT_ASSIGN129811100.00
CONT_ASSIGN130011100.00
CONT_ASSIGN130111100.00
CONT_ASSIGN130311100.00
CONT_ASSIGN130411100.00
CONT_ASSIGN130611100.00
CONT_ASSIGN130711100.00
CONT_ASSIGN130911100.00
CONT_ASSIGN131011100.00
CONT_ASSIGN131211100.00
CONT_ASSIGN131411100.00
CONT_ASSIGN131611100.00
CONT_ASSIGN131811100.00
CONT_ASSIGN132011100.00
CONT_ASSIGN132111100.00
CONT_ASSIGN132311100.00
ALWAYS13271818100.00
ALWAYS13494040100.00
CONT_ASSIGN145100
CONT_ASSIGN145911100.00
CONT_ASSIGN146011100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_edn_0.1/rtl/edn_reg_top.sv' or '../src/lowrisc_ip_edn_0.1/rtl/edn_reg_top.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
68 1 1
69 1 1
70 1 1
71 1 1
MISSING_ELSE
77 1 1
89 1 1
90 1 1
118 1 1
119 1 1
311 1 1
326 1 1
342 1 1
348 1 1
363 1 1
379 1 1
413 1 1
582 1 1
596 1 1
712 1 1
726 1 1
732 1 1
746 1 1
786 1 1
1181 1 1
1215 1 1
1216 1 1
1217 1 1
1218 1 1
1219 1 1
1220 1 1
1221 1 1
1222 1 1
1223 1 1
1224 1 1
1225 1 1
1226 1 1
1227 1 1
1228 1 1
1229 1 1
1230 1 1
1231 1 1
1232 1 1
1235 1 1
1239 1 1
1260 1 1
1262 1 1
1264 1 1
1265 1 1
1267 1 1
1269 1 1
1270 1 1
1272 1 1
1274 1 1
1275 1 1
1277 1 1
1279 1 1
1280 1 1
1282 1 1
1283 1 1
1285 1 1
1287 1 1
1289 1 1
1291 1 1
1292 1 1
1294 1 1
1295 1 1
1297 1 1
1298 1 1
1300 1 1
1301 1 1
1303 1 1
1304 1 1
1306 1 1
1307 1 1
1309 1 1
1310 1 1
1312 1 1
1314 1 1
1316 1 1
1318 1 1
1320 1 1
1321 1 1
1323 1 1
1327 1 1
1328 1 1
1329 1 1
1330 1 1
1331 1 1
1332 1 1
1333 1 1
1334 1 1
1335 1 1
1336 1 1
1337 1 1
1338 1 1
1339 1 1
1340 1 1
1341 1 1
1342 1 1
1343 1 1
1344 1 1
1349 1 1
1350 1 1
1352 1 1
1353 1 1
1357 1 1
1358 1 1
1362 1 1
1363 1 1
1367 1 1
1368 1 1
1372 1 1
1376 1 1
1377 1 1
1378 1 1
1379 1 1
1383 1 1
1387 1 1
1391 1 1
1395 1 1
1396 1 1
1397 1 1
1398 1 1
1402 1 1
1406 1 1
1410 1 1
1414 1 1
1415 1 1
1416 1 1
1417 1 1
1418 1 1
1422 1 1
1423 1 1
1424 1 1
1425 1 1
1426 1 1
1427 1 1
1428 1 1
1429 1 1
1433 1 1
1437 1 1
1451 unreachable
1459 1 1
1460 1 1


Cond Coverage for Module : edn_reg_top
TotalCoveredPercent
Conditions184184100.00
Logical184184100.00
Non-Logical00
Event00

 LINE       58
 EXPRESSION (reg_we && ((!addrmiss)))
             ---1--    ------2------
-1--2-StatusTests
01CoveredT17,T18,T19
10CoveredT23,T22,T126
11CoveredT17,T18,T19

 LINE       70
 EXPRESSION (intg_err || reg_we_err)
             ----1---    -----2----
-1--2-StatusTests
00CoveredT17,T18,T19
01CoveredT4,T15,T16
10CoveredT22,T26,T126

 LINE       77
 EXPRESSION (err_q | intg_err | reg_we_err)
             --1--   ----2---   -----3----
-1--2--3-StatusTests
000CoveredT17,T18,T19
001CoveredT4,T15,T16
010CoveredT22,T26,T126
100CoveredT22,T26,T126

 LINE       119
 EXPRESSION (addrmiss | wr_err | intg_err)
             ----1---   ---2--   ----3---
-1--2--3-StatusTests
000CoveredT17,T18,T19
001CoveredT22,T26,T126
010CoveredT23,T124,T125
100CoveredT23,T124,T125

 LINE       413
 EXPRESSION (ctrl_we & regwen_qs)
             ---1---   ----2----
-1--2-StatusTests
01CoveredT17,T18,T19
10CoveredT166,T167,T168
11CoveredT1,T2,T3

 LINE       1216
 EXPRESSION (reg_addr == edn_reg_pkg::EDN_INTR_STATE_OFFSET)
            ------------------------1-----------------------
-1-StatusTests
0CoveredT17,T18,T19
1CoveredT17,T18,T19

 LINE       1217
 EXPRESSION (reg_addr == edn_reg_pkg::EDN_INTR_ENABLE_OFFSET)
            ------------------------1------------------------
-1-StatusTests
0CoveredT17,T18,T19
1CoveredT17,T18,T19

 LINE       1218
 EXPRESSION (reg_addr == edn_reg_pkg::EDN_INTR_TEST_OFFSET)
            -----------------------1-----------------------
-1-StatusTests
0CoveredT17,T18,T19
1CoveredT18,T20,T27

 LINE       1219
 EXPRESSION (reg_addr == edn_reg_pkg::EDN_ALERT_TEST_OFFSET)
            ------------------------1-----------------------
-1-StatusTests
0CoveredT17,T18,T19
1CoveredT17,T19,T20

 LINE       1220
 EXPRESSION (reg_addr == edn_reg_pkg::EDN_REGWEN_OFFSET)
            ----------------------1---------------------
-1-StatusTests
0CoveredT17,T18,T19
1CoveredT17,T19,T20

 LINE       1221
 EXPRESSION (reg_addr == edn_reg_pkg::EDN_CTRL_OFFSET)
            ---------------------1--------------------
-1-StatusTests
0CoveredT17,T18,T19
1CoveredT20,T23,T44

 LINE       1222
 EXPRESSION (reg_addr == edn_reg_pkg::EDN_BOOT_INS_CMD_OFFSET)
            -------------------------1------------------------
-1-StatusTests
0CoveredT17,T18,T19
1CoveredT20,T23,T44

 LINE       1223
 EXPRESSION (reg_addr == edn_reg_pkg::EDN_BOOT_GEN_CMD_OFFSET)
            -------------------------1------------------------
-1-StatusTests
0CoveredT17,T18,T19
1CoveredT20,T23,T44

 LINE       1224
 EXPRESSION (reg_addr == edn_reg_pkg::EDN_SW_CMD_REQ_OFFSET)
            ------------------------1-----------------------
-1-StatusTests
0CoveredT17,T18,T19
1CoveredT17,T19,T20

 LINE       1225
 EXPRESSION (reg_addr == edn_reg_pkg::EDN_SW_CMD_STS_OFFSET)
            ------------------------1-----------------------
-1-StatusTests
0CoveredT17,T18,T19
1CoveredT17,T19,T20

 LINE       1226
 EXPRESSION (reg_addr == edn_reg_pkg::EDN_RESEED_CMD_OFFSET)
            ------------------------1-----------------------
-1-StatusTests
0CoveredT17,T18,T19
1CoveredT17,T19,T20

 LINE       1227
 EXPRESSION (reg_addr == edn_reg_pkg::EDN_GENERATE_CMD_OFFSET)
            -------------------------1------------------------
-1-StatusTests
0CoveredT17,T18,T19
1CoveredT17,T19,T20

 LINE       1228
 EXPRESSION (reg_addr == edn_reg_pkg::EDN_MAX_NUM_REQS_BETWEEN_RESEEDS_OFFSET)
            ---------------------------------1--------------------------------
-1-StatusTests
0CoveredT17,T18,T19
1CoveredT17,T19,T20

 LINE       1229
 EXPRESSION (reg_addr == edn_reg_pkg::EDN_RECOV_ALERT_STS_OFFSET)
            --------------------------1--------------------------
-1-StatusTests
0CoveredT17,T18,T19
1CoveredT17,T19,T20

 LINE       1230
 EXPRESSION (reg_addr == edn_reg_pkg::EDN_ERR_CODE_OFFSET)
            -----------------------1----------------------
-1-StatusTests
0CoveredT17,T18,T19
1CoveredT17,T19,T20

 LINE       1231
 EXPRESSION (reg_addr == edn_reg_pkg::EDN_ERR_CODE_TEST_OFFSET)
            -------------------------1-------------------------
-1-StatusTests
0CoveredT17,T18,T19
1CoveredT20,T23,T44

 LINE       1232
 EXPRESSION (reg_addr == edn_reg_pkg::EDN_MAIN_SM_STATE_OFFSET)
            -------------------------1-------------------------
-1-StatusTests
0CoveredT17,T18,T19
1CoveredT17,T19,T20

 LINE       1235
 EXPRESSION ((reg_re || reg_we) ? ((~|addr_hit)) : 1'b0)
             ---------1--------
-1-StatusTests
0CoveredT17,T18,T19
1CoveredT17,T18,T19

 LINE       1235
 SUB-EXPRESSION (reg_re || reg_we)
                 ---1--    ---2--
-1--2-StatusTests
00CoveredT17,T18,T19
01CoveredT17,T18,T19
10CoveredT17,T18,T19

 LINE       1239
 EXPRESSION 
 Number  Term
      1  reg_we & 
      2  ((addr_hit[0] & ((|(4'b1 & (~reg_be))))) | (addr_hit[1] & ((|(4'b1 & (~reg_be))))) | (addr_hit[2] & ((|(4'b1 & (~reg_be))))) | (addr_hit[3] & ((|(4'b1 & (~reg_be))))) | (addr_hit[4] & ((|(4'b1 & (~reg_be))))) | (addr_hit[5] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[6] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[7] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[8] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[9] & ((|(4'b1 & (~reg_be))))) | (addr_hit[10] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[11] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[12] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[13] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[14] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[15] & ((|(4'b1 & (~reg_be))))) | (addr_hit[16] & ((|(4'b0011 & (~reg_be)))))))
-1--2-StatusTests
01CoveredT17,T18,T19
10CoveredT17,T18,T19
11CoveredT23,T22,T26

 LINE       1239
 SUB-EXPRESSION 
 Number  Term
      1  (addr_hit[0] & ((|(4'b1 & (~reg_be))))) | 
      2  (addr_hit[1] & ((|(4'b1 & (~reg_be))))) | 
      3  (addr_hit[2] & ((|(4'b1 & (~reg_be))))) | 
      4  (addr_hit[3] & ((|(4'b1 & (~reg_be))))) | 
      5  (addr_hit[4] & ((|(4'b1 & (~reg_be))))) | 
      6  (addr_hit[5] & ((|(4'b0011 & (~reg_be))))) | 
      7  (addr_hit[6] & ((|(4'b1111 & (~reg_be))))) | 
      8  (addr_hit[7] & ((|(4'b1111 & (~reg_be))))) | 
      9  (addr_hit[8] & ((|(4'b1111 & (~reg_be))))) | 
     10  (addr_hit[9] & ((|(4'b1 & (~reg_be))))) | 
     11  (addr_hit[10] & ((|(4'b1111 & (~reg_be))))) | 
     12  (addr_hit[11] & ((|(4'b1111 & (~reg_be))))) | 
     13  (addr_hit[12] & ((|(4'b1111 & (~reg_be))))) | 
     14  (addr_hit[13] & ((|(4'b0011 & (~reg_be))))) | 
     15  (addr_hit[14] & ((|(4'b1111 & (~reg_be))))) | 
     16  (addr_hit[15] & ((|(4'b1 & (~reg_be))))) | 
     17  (addr_hit[16] & ((|(4'b0011 & (~reg_be))))))
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16--17-StatusTests
00000000000000000CoveredT17,T18,T19
00000000000000001CoveredT19,T20,T23
00000000000000010CoveredT23,T21,T24
00000000000000100CoveredT17,T19,T20
00000000000001000CoveredT23,T22,T163
00000000000010000CoveredT19,T23,T22
00000000000100000CoveredT17,T43,T23
00000000001000000CoveredT17,T20,T23
00000000010000000CoveredT20,T23,T21
00000000100000000CoveredT23,T45,T21
00000001000000000CoveredT20,T23,T21
00000010000000000CoveredT20,T23,T24
00000100000000000CoveredT20,T23,T21
00001000000000000CoveredT23,T45,T21
00010000000000000CoveredT17,T20,T23
00100000000000000CoveredT18,T20,T27
01000000000000000CoveredT18,T27,T61
10000000000000000CoveredT18,T19,T27

 LINE       1239
 SUB-EXPRESSION (addr_hit[0] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT17,T18,T19
10CoveredT17,T18,T20
11CoveredT18,T19,T27

 LINE       1239
 SUB-EXPRESSION (addr_hit[1] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT17,T18,T19
10CoveredT17,T18,T19
11CoveredT18,T27,T61

 LINE       1239
 SUB-EXPRESSION (addr_hit[2] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT17,T18,T19
10CoveredT18,T20,T27
11CoveredT18,T20,T27

 LINE       1239
 SUB-EXPRESSION (addr_hit[3] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT17,T18,T19
10CoveredT17,T19,T20
11CoveredT17,T20,T23

 LINE       1239
 SUB-EXPRESSION (addr_hit[4] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT17,T18,T19
10CoveredT17,T19,T20
11CoveredT23,T45,T21

 LINE       1239
 SUB-EXPRESSION (addr_hit[5] & ((|(4'b0011 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT17,T18,T19
10CoveredT23,T44,T21
11CoveredT20,T23,T21

 LINE       1239
 SUB-EXPRESSION (addr_hit[6] & ((|(4'b1111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT17,T18,T19
10CoveredT20,T23,T44
11CoveredT20,T23,T24

 LINE       1239
 SUB-EXPRESSION (addr_hit[7] & ((|(4'b1111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT17,T18,T19
10CoveredT23,T44,T46
11CoveredT20,T23,T21

 LINE       1239
 SUB-EXPRESSION (addr_hit[8] & ((|(4'b1111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT17,T18,T19
10CoveredT17,T19,T20
11CoveredT23,T45,T21

 LINE       1239
 SUB-EXPRESSION (addr_hit[9] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT17,T18,T19
10CoveredT17,T19,T20
11CoveredT20,T23,T21

 LINE       1239
 SUB-EXPRESSION (addr_hit[10] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT17,T18,T19
10CoveredT17,T19,T20
11CoveredT17,T20,T23

 LINE       1239
 SUB-EXPRESSION (addr_hit[11] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT17,T18,T19
10CoveredT17,T19,T20
11CoveredT17,T43,T23

 LINE       1239
 SUB-EXPRESSION (addr_hit[12] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT17,T18,T19
10CoveredT17,T19,T20
11CoveredT19,T23,T22

 LINE       1239
 SUB-EXPRESSION (addr_hit[13] & ((|(4'b0011 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT17,T18,T19
10CoveredT17,T19,T20
11CoveredT23,T22,T163

 LINE       1239
 SUB-EXPRESSION (addr_hit[14] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT17,T18,T19
10CoveredT17,T19,T20
11CoveredT17,T19,T20

 LINE       1239
 SUB-EXPRESSION (addr_hit[15] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT17,T18,T19
10CoveredT20,T23,T44
11CoveredT23,T21,T24

 LINE       1239
 SUB-EXPRESSION (addr_hit[16] & ((|(4'b0011 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT17,T18,T19
10CoveredT17,T19,T20
11CoveredT19,T20,T23

 LINE       1260
 EXPRESSION (addr_hit[0] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT17,T18,T19
101CoveredT17,T18,T19
110CoveredT23,T22,T26
111CoveredT18,T27,T61

 LINE       1265
 EXPRESSION (addr_hit[1] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT17,T18,T19
101CoveredT17,T18,T19
110CoveredT23,T124,T125
111CoveredT17,T18,T19

 LINE       1270
 EXPRESSION (addr_hit[2] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT17,T18,T19
101CoveredT18,T20,T27
110CoveredT23,T26,T124
111CoveredT18,T20,T27

 LINE       1275
 EXPRESSION (addr_hit[3] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT17,T18,T19
101CoveredT17,T19,T20
110CoveredT124,T125,T129
111CoveredT17,T19,T20

 LINE       1280
 EXPRESSION (addr_hit[4] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT17,T18,T19
101CoveredT17,T19,T20
110CoveredT23,T124,T129
111CoveredT17,T19,T20

 LINE       1283
 EXPRESSION (addr_hit[5] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT17,T18,T19
101CoveredT20,T23,T44
110CoveredT126,T125,T129
111CoveredT1,T2,T3

 LINE       1292
 EXPRESSION (addr_hit[6] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT17,T18,T19
101CoveredT20,T23,T44
110CoveredT124,T125,T128
111CoveredT28,T37,T31

 LINE       1295
 EXPRESSION (addr_hit[7] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT17,T18,T19
101CoveredT20,T23,T44
110CoveredT23,T125,T151
111CoveredT28,T37,T31

 LINE       1298
 EXPRESSION (addr_hit[8] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT17,T18,T19
101CoveredT17,T19,T20
110CoveredT23,T125,T151
111CoveredT17,T19,T20

 LINE       1301
 EXPRESSION (addr_hit[10] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT17,T18,T19
101CoveredT17,T19,T20
110CoveredT23,T124,T125
111CoveredT17,T19,T20

 LINE       1304
 EXPRESSION (addr_hit[11] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT17,T18,T19
101CoveredT17,T19,T20
110CoveredT23,T124,T125
111CoveredT17,T19,T20

 LINE       1307
 EXPRESSION (addr_hit[12] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT17,T18,T19
101CoveredT17,T19,T20
110CoveredT23,T124,T129
111CoveredT17,T19,T20

 LINE       1310
 EXPRESSION (addr_hit[13] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT17,T18,T19
101CoveredT17,T19,T20
110CoveredT23,T22,T124
111CoveredT17,T19,T20

 LINE       1321
 EXPRESSION (addr_hit[15] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT17,T18,T19
101CoveredT20,T23,T44
110CoveredT124,T127,T151
111CoveredT1,T2,T3

Branch Coverage for Module : edn_reg_top
Line No.TotalCoveredPercent
Branches 23 23 100.00
TERNARY 1235 2 2 100.00
IF 68 3 3 100.00
CASE 1350 18 18 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_edn_0.1/rtl/edn_reg_top.sv' or '../src/lowrisc_ip_edn_0.1/rtl/edn_reg_top.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 1235 ((reg_re || reg_we)) ?

Branches:
-1-StatusTests
1 Covered T17,T18,T19
0 Covered T17,T18,T19


LineNo. Expression -1-: 68 if ((!rst_ni)) -2-: 70 if ((intg_err || reg_we_err))

Branches:
-1--2-StatusTests
1 - Covered T17,T18,T19
0 1 Covered T22,T26,T126
0 0 Covered T17,T18,T19


LineNo. Expression -1-: 1350 case (1'b1)

Branches:
-1-StatusTests
addr_hit[0] Covered T17,T18,T19
addr_hit[1] Covered T17,T18,T19
addr_hit[2] Covered T17,T18,T19
addr_hit[3] Covered T17,T18,T19
addr_hit[4] Covered T17,T18,T19
addr_hit[5] Covered T17,T18,T19
addr_hit[6] Covered T17,T18,T19
addr_hit[7] Covered T17,T18,T19
addr_hit[8] Covered T17,T18,T19
addr_hit[9] Covered T17,T18,T19
addr_hit[10] Covered T17,T18,T19
addr_hit[11] Covered T17,T18,T19
addr_hit[12] Covered T17,T18,T19
addr_hit[13] Covered T17,T18,T19
addr_hit[14] Covered T17,T18,T19
addr_hit[15] Covered T17,T18,T19
addr_hit[16] Covered T17,T18,T19
default Covered T17,T18,T19


Assert Coverage for Module : edn_reg_top
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 4 4 100.00 4 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 4 4 100.00 4 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
en2addrHit 214957274 780453 0 0
reAfterRv 214957274 780451 0 0
rePulse 214957274 309704 0 0
wePulse 214957274 470747 0 0


en2addrHit
NameAttemptsReal SuccessesFailuresIncomplete
Total 214957274 780453 0 0
T17 1459 21 0 0
T18 1531 40 0 0
T19 1286 28 0 0
T20 1606 54 0 0
T23 10200 31 0 0
T27 1235 38 0 0
T43 723 26 0 0
T44 1039 137 0 0
T61 1113 40 0 0
T62 1104 20 0 0

reAfterRv
NameAttemptsReal SuccessesFailuresIncomplete
Total 214957274 780451 0 0
T17 1459 21 0 0
T18 1531 40 0 0
T19 1286 28 0 0
T20 1606 54 0 0
T23 10200 31 0 0
T27 1235 38 0 0
T43 723 26 0 0
T44 1039 137 0 0
T61 1113 40 0 0
T62 1104 20 0 0

rePulse
NameAttemptsReal SuccessesFailuresIncomplete
Total 214957274 309704 0 0
T17 1459 10 0 0
T18 1531 20 0 0
T19 1286 17 0 0
T20 1606 30 0 0
T23 10200 6 0 0
T27 1235 19 0 0
T43 723 16 0 0
T44 1039 84 0 0
T61 1113 20 0 0
T62 1104 10 0 0

wePulse
NameAttemptsReal SuccessesFailuresIncomplete
Total 214957274 470747 0 0
T17 1459 11 0 0
T18 1531 20 0 0
T19 1286 11 0 0
T20 1606 24 0 0
T23 10200 25 0 0
T27 1235 19 0 0
T43 723 10 0 0
T44 1039 53 0 0
T61 1113 20 0 0
T62 1104 10 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%