Cond split page
dashboard | hierarchy | modlist | groups | tests | asserts
Go back
 LINE       786
 SUB-EXPRESSION ((packer_cs_push && packer_cs_wready) ? csrng_cmd_i.genbits_fips : csrng_fips_q)
                 ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       786
 SUB-EXPRESSION (packer_cs_push && packer_cs_wready)
                 -------1------    --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       801
 EXPRESSION (packer_cs_rvalid && packer_cs_rready)
             --------1-------    --------2-------
-1--2-StatusTests
01CoveredT1,T2,T7
10CoveredT1,T3,T7
11CoveredT1,T2,T3

 LINE       803
 EXPRESSION (cs_rdata_capt_vld ? packer_cs_rdata[63:0] : cs_rdata_capt_q)
             --------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       805
 EXPRESSION (((!edn_enable_fo[CsrngDataVld])) ? 1'b0 : (cs_rdata_capt_vld ? 1'b1 : cs_rdata_capt_vld_q))
             ----------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       805
 SUB-EXPRESSION (cs_rdata_capt_vld ? 1'b1 : cs_rdata_capt_vld_q)
                 --------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       811
 EXPRESSION (cs_rdata_capt_vld && cs_rdata_capt_vld_q && (cs_rdata_capt_q == packer_cs_rdata[63:0]))
             --------1--------    ---------2---------    ---------------------3--------------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101Not Covered
110CoveredT1,T7,T28
111CoveredT12,T13,T14

 LINE       811
 SUB-EXPRESSION (cs_rdata_capt_q == packer_cs_rdata[63:0])
                ---------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       839
 EXPRESSION (packer_arb_valid && packer_ep_wready[0] && packer_arb_gnt[0])
             --------1-------    ---------2---------    --------3--------
-1--2--3-StatusTests
011Not Covered
101Not Covered
110CoveredT1,T2,T3
111CoveredT1,T7,T31

 LINE       839
 EXPRESSION (packer_arb_valid && packer_ep_wready[1] && packer_arb_gnt[1])
             --------1-------    ---------2---------    --------3--------
-1--2--3-StatusTests
011Not Covered
101Not Covered
110CoveredT1,T2,T3
111CoveredT1,T28,T8

 LINE       839
 EXPRESSION (packer_arb_valid && packer_ep_wready[2] && packer_arb_gnt[2])
             --------1-------    ---------2---------    --------3--------
-1--2--3-StatusTests
011Not Covered
101Not Covered
110CoveredT1,T2,T3
111CoveredT1,T7,T29

 LINE       839
 EXPRESSION (packer_arb_valid && packer_ep_wready[3] && packer_arb_gnt[3])
             --------1-------    ---------2---------    --------3--------
-1--2--3-StatusTests
011Not Covered
101Not Covered
110CoveredT1,T2,T7
111CoveredT1,T3,T29

 LINE       839
 EXPRESSION (packer_arb_valid && packer_ep_wready[4] && packer_arb_gnt[4])
             --------1-------    ---------2---------    --------3--------
-1--2--3-StatusTests
011Not Covered
101Not Covered
110CoveredT1,T2,T3
111CoveredT1,T28,T8

 LINE       839
 EXPRESSION (packer_arb_valid && packer_ep_wready[5] && packer_arb_gnt[5])
             --------1-------    ---------2---------    --------3--------
-1--2--3-StatusTests
011Not Covered
101Not Covered
110CoveredT1,T2,T3
111CoveredT1,T29,T30

 LINE       839
 EXPRESSION (packer_arb_valid && packer_ep_wready[6] && packer_arb_gnt[6])
             --------1-------    ---------2---------    --------3--------
-1--2--3-StatusTests
011Not Covered
101Not Covered
110CoveredT1,T2,T3
111CoveredT1,T2,T28

 LINE       843
 EXPRESSION (packer_ep_clr[0] ? 1'b0 : ((packer_ep_push[0] && packer_ep_wready[0]) ? csrng_fips_q : edn_fips_q[0]))
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       843
 SUB-EXPRESSION ((packer_ep_push[0] && packer_ep_wready[0]) ? csrng_fips_q : edn_fips_q[0])
                 ---------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T7,T31

 LINE       843
 SUB-EXPRESSION (packer_ep_push[0] && packer_ep_wready[0])
                 --------1--------    ---------2---------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T7,T31

 LINE       843
 EXPRESSION (packer_ep_clr[1] ? 1'b0 : ((packer_ep_push[1] && packer_ep_wready[1]) ? csrng_fips_q : edn_fips_q[1]))
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       843
 SUB-EXPRESSION ((packer_ep_push[1] && packer_ep_wready[1]) ? csrng_fips_q : edn_fips_q[1])
                 ---------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T28,T8

 LINE       843
 SUB-EXPRESSION (packer_ep_push[1] && packer_ep_wready[1])
                 --------1--------    ---------2---------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T28,T8

 LINE       843
 EXPRESSION (packer_ep_clr[2] ? 1'b0 : ((packer_ep_push[2] && packer_ep_wready[2]) ? csrng_fips_q : edn_fips_q[2]))
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       843
 SUB-EXPRESSION ((packer_ep_push[2] && packer_ep_wready[2]) ? csrng_fips_q : edn_fips_q[2])
                 ---------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T7,T29

 LINE       843
 SUB-EXPRESSION (packer_ep_push[2] && packer_ep_wready[2])
                 --------1--------    ---------2---------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T7,T29

 LINE       843
 EXPRESSION (packer_ep_clr[3] ? 1'b0 : ((packer_ep_push[3] && packer_ep_wready[3]) ? csrng_fips_q : edn_fips_q[3]))
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       843
 SUB-EXPRESSION ((packer_ep_push[3] && packer_ep_wready[3]) ? csrng_fips_q : edn_fips_q[3])
                 ---------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T29

 LINE       843
 SUB-EXPRESSION (packer_ep_push[3] && packer_ep_wready[3])
                 --------1--------    ---------2---------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T3,T29

 LINE       843
 EXPRESSION (packer_ep_clr[4] ? 1'b0 : ((packer_ep_push[4] && packer_ep_wready[4]) ? csrng_fips_q : edn_fips_q[4]))
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       843
 SUB-EXPRESSION ((packer_ep_push[4] && packer_ep_wready[4]) ? csrng_fips_q : edn_fips_q[4])
                 ---------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T28,T8

 LINE       843
 SUB-EXPRESSION (packer_ep_push[4] && packer_ep_wready[4])
                 --------1--------    ---------2---------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T28,T8

 LINE       843
 EXPRESSION (packer_ep_clr[5] ? 1'b0 : ((packer_ep_push[5] && packer_ep_wready[5]) ? csrng_fips_q : edn_fips_q[5]))
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       843
 SUB-EXPRESSION ((packer_ep_push[5] && packer_ep_wready[5]) ? csrng_fips_q : edn_fips_q[5])
                 ---------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T29,T30

 LINE       843
 SUB-EXPRESSION (packer_ep_push[5] && packer_ep_wready[5])
                 --------1--------    ---------2---------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T29,T30

 LINE       843
 EXPRESSION (packer_ep_clr[6] ? 1'b0 : ((packer_ep_push[6] && packer_ep_wready[6]) ? csrng_fips_q : edn_fips_q[6]))
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       843
 SUB-EXPRESSION ((packer_ep_push[6] && packer_ep_wready[6]) ? csrng_fips_q : edn_fips_q[6])
                 ---------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T28

 LINE       843
 SUB-EXPRESSION (packer_ep_push[6] && packer_ep_wready[6])
                 --------1--------    ---------2---------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T28

 LINE       876
 EXPRESSION (((|err_code_test_bit[19:2])) || ((|err_code_test_bit[27:22])))
             --------------1-------------    --------------2--------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T37
10CoveredT2,T3,T28
0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%