Line Coverage for Module :
edn_ack_sm
| Line No. | Total | Covered | Percent |
TOTAL | | 32 | 32 | 100.00 |
ALWAYS | 52 | 3 | 3 | 100.00 |
ALWAYS | 55 | 29 | 29 | 100.00 |
51
52 3/3 `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled)
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled):
52.1 `ifdef SIMULATION
52.2 prim_sparse_fsm_flop #(
52.3 .StateEnumT(state_e),
52.4 .Width($bits(state_e)),
52.5 .ResetValue($bits(state_e)'(Disabled)),
52.6 .EnableAlertTriggerSVA(1),
52.7 .CustomForceName("state_q")
52.8 ) u_state_regs (
52.9 .clk_i ( clk_i ),
52.10 .rst_ni ( rst_ni ),
52.11 .state_i ( state_d ),
52.12 .state_o ( )
52.13 );
52.14 always_ff @(posedge clk_i or negedge rst_ni) begin
52.15 1/1 if (!rst_ni) begin
Tests: T1 T2 T3
52.16 1/1 state_q <= Disabled;
Tests: T1 T2 T3
52.17 end else begin
52.18 1/1 state_q <= state_d;
Tests: T1 T2 T3
52.19 end
52.20 end
52.21 u_state_regs_A: assert property (@(posedge clk_i) disable iff ((!rst_ni) !== '0) (state_q === u_state_regs.state_o))
52.22 else begin
52.23 `ifdef UVM
52.24 uvm_pkg::uvm_report_error("ASSERT FAILED", "u_state_regs_A", uvm_pkg::UVM_NONE,
52.25 "../src/lowrisc_ip_edn_0.1/rtl/edn_ack_sm.sv", 52, "", 1);
52.26 `else
52.27 $error("%0t: (%0s:%0d) [%m] [ASSERT FAILED] %0s", $time, `__FILE__, `__LINE__,
52.28 `PRIM_STRINGIFY(u_state_regs_A));
52.29 `endif
52.30 end
52.31 `else
52.32 prim_sparse_fsm_flop #(
52.33 .StateEnumT(state_e),
52.34 .Width($bits(state_e)),
52.35 .ResetValue($bits(state_e)'(Disabled)),
52.36 .EnableAlertTriggerSVA(1)
52.37 ) u_state_regs (
52.38 .clk_i ( `PRIM_FLOP_CLK ),
52.39 .rst_ni ( `PRIM_FLOP_RST ),
52.40 .state_i ( state_d ),
52.41 .state_o ( state_q )
52.42 );
52.43 `endif53
54 always_comb begin
55 1/1 state_d = state_q;
Tests: T1 T2 T3
56 1/1 ack_o = 1'b0;
Tests: T1 T2 T3
57 1/1 fifo_clr_o = 1'b0;
Tests: T1 T2 T3
58 1/1 fifo_pop_o = 1'b0;
Tests: T1 T2 T3
59 1/1 ack_sm_err_o = 1'b0;
Tests: T1 T2 T3
60 1/1 unique case (state_q)
Tests: T1 T2 T3
61 Disabled: begin
62 1/1 if (enable_i) begin
Tests: T1 T2 T3
63 1/1 state_d = EndPointClear;
Tests: T1 T2 T3
64 1/1 fifo_clr_o = 1'b1;
Tests: T1 T2 T3
65 end
MISSING_ELSE
66 end
67 EndPointClear: begin
68 1/1 state_d = Idle;
Tests: T1 T2 T3
69 end
70 Idle: begin
71 1/1 if (req_i) begin
Tests: T1 T2 T3
72 1/1 if (fifo_not_empty_i) begin
Tests: T1 T2 T3
73 1/1 fifo_pop_o = 1'b1;
Tests: T1 T2 T3
74 end
MISSING_ELSE
75 1/1 state_d = DataWait;
Tests: T1 T2 T3
76 end
MISSING_ELSE
77 end
78 DataWait: begin
79 1/1 if (fifo_not_empty_i) begin
Tests: T1 T2 T3
80 1/1 state_d = AckPls;
Tests: T1 T2 T3
81 end
MISSING_ELSE
82 end
83 AckPls: begin
84 1/1 ack_o = 1'b1;
Tests: T1 T2 T3
85 1/1 state_d = Idle;
Tests: T1 T2 T3
86 end
87 Error: begin
88 1/1 ack_sm_err_o = 1'b1;
Tests: T4 T5 T30
89 end
90 default: begin
91 ack_sm_err_o = 1'b1;
92 state_d = Error;
93 end
94 endcase // unique case (state_q)
95
96 // If local escalation is seen, transition directly to
97 // error state.
98 1/1 if (local_escalate_i) begin
Tests: T1 T2 T3
99 1/1 state_d = Error;
Tests: T4 T5 T30
100 // Tie off outputs, except for ack_sm_err_o.
101 1/1 ack_o = 1'b0;
Tests: T4 T5 T30
102 1/1 fifo_clr_o = 1'b0;
Tests: T4 T5 T30
103 1/1 fifo_pop_o = 1'b0;
Tests: T4 T5 T30
104 1/1 end else if (!enable_i && state_q inside {EndPointClear, Idle, DataWait, AckPls}) begin
Tests: T1 T2 T3
105 // Only disable if state is legal and not Disabled or Error.
106 // Even when disabled, illegal states must result in a transition to Error.
107 1/1 state_d = Disabled;
Tests: T22 T5 T23
108 // Tie off all outputs, except for ack_sm_err_o.
109 1/1 ack_o = 1'b0;
Tests: T22 T5 T23
110 1/1 fifo_pop_o = 1'b0;
Tests: T22 T5 T23
111 1/1 fifo_clr_o = 1'b0;
Tests: T22 T5 T23
112 end
MISSING_ELSE
Cond Coverage for Module :
edn_ack_sm
| Total | Covered | Percent |
Conditions | 3 | 3 | 100.00 |
Logical | 3 | 3 | 100.00 |
Non-Logical | 0 | 0 | |
Event | 0 | 0 | |
LINE 104
EXPRESSION (((!enable_i)) && (state_q inside {EndPointClear, Idle, DataWait, AckPls}))
------1------ ----------------------------2---------------------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T22,T5,T23 |
FSM Coverage for Module :
edn_ack_sm
Summary for FSM :: state_q
| Total | Covered | Percent | |
States |
6 |
6 |
100.00 |
(Not included in score) |
Transitions |
14 |
13 |
92.86 |
|
Sequences |
0 |
0 |
|
|
State, Transition and Sequence Details for FSM :: state_q
states | Line No. | Covered | Tests |
AckPls |
80 |
Covered |
T1,T2,T3 |
DataWait |
75 |
Covered |
T1,T2,T3 |
Disabled |
107 |
Covered |
T1,T2,T3 |
EndPointClear |
63 |
Covered |
T1,T2,T3 |
Error |
99 |
Covered |
T4,T5,T30 |
Idle |
68 |
Covered |
T1,T2,T3 |
transitions | Line No. | Covered | Tests |
AckPls->Disabled |
107 |
Covered |
T195 |
AckPls->Error |
99 |
Not Covered |
|
AckPls->Idle |
85 |
Covered |
T1,T2,T3 |
DataWait->AckPls |
80 |
Covered |
T1,T2,T3 |
DataWait->Disabled |
107 |
Covered |
T51,T48,T36 |
DataWait->Error |
99 |
Covered |
T65,T97,T205 |
Disabled->EndPointClear |
63 |
Covered |
T1,T2,T3 |
Disabled->Error |
99 |
Covered |
T14,T15,T16 |
EndPointClear->Disabled |
107 |
Covered |
T6,T17,T107 |
EndPointClear->Error |
99 |
Covered |
T14,T15,T16 |
EndPointClear->Idle |
68 |
Covered |
T1,T2,T3 |
Idle->DataWait |
75 |
Covered |
T1,T2,T3 |
Idle->Disabled |
107 |
Covered |
T22,T5,T23 |
Idle->Error |
99 |
Covered |
T4,T5,T30 |
Branch Coverage for Module :
edn_ack_sm
| Line No. | Total | Covered | Percent |
Branches |
|
16 |
16 |
100.00 |
IF |
52 |
2 |
2 |
100.00 |
CASE |
60 |
11 |
11 |
100.00 |
IF |
98 |
3 |
3 |
100.00 |
52 `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled)
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
60 unique case (state_q)
-1-
61 Disabled: begin
62 if (enable_i) begin
-2-
63 state_d = EndPointClear;
==>
64 fifo_clr_o = 1'b1;
65 end
MISSING_ELSE
==>
66 end
67 EndPointClear: begin
68 state_d = Idle;
==>
69 end
70 Idle: begin
71 if (req_i) begin
-3-
72 if (fifo_not_empty_i) begin
-4-
73 fifo_pop_o = 1'b1;
==>
74 end
MISSING_ELSE
==>
75 state_d = DataWait;
76 end
MISSING_ELSE
==>
77 end
78 DataWait: begin
79 if (fifo_not_empty_i) begin
-5-
80 state_d = AckPls;
==>
81 end
MISSING_ELSE
==>
82 end
83 AckPls: begin
84 ack_o = 1'b1;
==>
85 state_d = Idle;
86 end
87 Error: begin
88 ack_sm_err_o = 1'b1;
==>
89 end
90 default: begin
91 ack_sm_err_o = 1'b1;
==>
Branches:
-1- | -2- | -3- | -4- | -5- | Status | Tests |
Disabled |
1 |
- |
- |
- |
Covered |
T1,T2,T3 |
Disabled |
0 |
- |
- |
- |
Covered |
T1,T2,T3 |
EndPointClear |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
Idle |
- |
1 |
1 |
- |
Covered |
T1,T2,T3 |
Idle |
- |
1 |
0 |
- |
Covered |
T1,T2,T3 |
Idle |
- |
0 |
- |
- |
Covered |
T1,T2,T3 |
DataWait |
- |
- |
- |
1 |
Covered |
T1,T2,T3 |
DataWait |
- |
- |
- |
0 |
Covered |
T1,T2,T3 |
AckPls |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
Error |
- |
- |
- |
- |
Covered |
T4,T5,T30 |
default |
- |
- |
- |
- |
Covered |
T30,T14,T15 |
98 if (local_escalate_i) begin
-1-
99 state_d = Error;
==>
100 // Tie off outputs, except for ack_sm_err_o.
101 ack_o = 1'b0;
102 fifo_clr_o = 1'b0;
103 fifo_pop_o = 1'b0;
104 end else if (!enable_i && state_q inside {EndPointClear, Idle, DataWait, AckPls}) begin
-2-
105 // Only disable if state is legal and not Disabled or Error.
106 // Even when disabled, illegal states must result in a transition to Error.
107 state_d = Disabled;
==>
108 // Tie off all outputs, except for ack_sm_err_o.
109 ack_o = 1'b0;
110 fifo_pop_o = 1'b0;
111 fifo_clr_o = 1'b0;
112 end
MISSING_ELSE
==>
Branches:
-1- | -2- | Status | Tests |
1 |
- |
Covered |
T4,T5,T30 |
0 |
1 |
Covered |
T22,T5,T23 |
0 |
0 |
Covered |
T1,T2,T3 |
Assert Coverage for Module :
edn_ack_sm
Assertion Details
AckSmErrorStStable_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
79595572 |
1048564 |
0 |
0 |
T4 |
4368 |
1806 |
0 |
0 |
T5 |
6587 |
2744 |
0 |
0 |
T6 |
163380 |
0 |
0 |
0 |
T7 |
0 |
4214 |
0 |
0 |
T9 |
17892 |
0 |
0 |
0 |
T14 |
0 |
52248 |
0 |
0 |
T15 |
0 |
71680 |
0 |
0 |
T16 |
0 |
55587 |
0 |
0 |
T22 |
7224 |
0 |
0 |
0 |
T23 |
15148 |
0 |
0 |
0 |
T24 |
6153 |
0 |
0 |
0 |
T25 |
13489 |
0 |
0 |
0 |
T26 |
13482 |
0 |
0 |
0 |
T30 |
0 |
2729 |
0 |
0 |
T39 |
24346 |
0 |
0 |
0 |
T59 |
0 |
7686 |
0 |
0 |
T65 |
0 |
1806 |
0 |
0 |
T69 |
0 |
4402 |
0 |
0 |
FpvSecCmErrorStEscalate_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
79595572 |
1055872 |
0 |
0 |
T4 |
4368 |
1813 |
0 |
0 |
T5 |
6587 |
2751 |
0 |
0 |
T6 |
163380 |
0 |
0 |
0 |
T7 |
0 |
4221 |
0 |
0 |
T9 |
17892 |
0 |
0 |
0 |
T14 |
0 |
53158 |
0 |
0 |
T15 |
0 |
72590 |
0 |
0 |
T16 |
0 |
56497 |
0 |
0 |
T22 |
7224 |
0 |
0 |
0 |
T23 |
15148 |
0 |
0 |
0 |
T24 |
6153 |
0 |
0 |
0 |
T25 |
13489 |
0 |
0 |
0 |
T26 |
13482 |
0 |
0 |
0 |
T30 |
0 |
2736 |
0 |
0 |
T39 |
24346 |
0 |
0 |
0 |
T59 |
0 |
7693 |
0 |
0 |
T65 |
0 |
1813 |
0 |
0 |
T69 |
0 |
4409 |
0 |
0 |
u_state_regs_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
79557184 |
78324729 |
0 |
0 |
T1 |
6573 |
6223 |
0 |
0 |
T2 |
8246 |
7854 |
0 |
0 |
T3 |
28728 |
28217 |
0 |
0 |
T4 |
4256 |
3038 |
0 |
0 |
T5 |
6367 |
5254 |
0 |
0 |
T9 |
17892 |
17325 |
0 |
0 |
T22 |
7224 |
6699 |
0 |
0 |
T23 |
15148 |
14665 |
0 |
0 |
T24 |
6153 |
5600 |
0 |
0 |
T25 |
13489 |
12957 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[0].u_edn_ack_sm_ep
| Line No. | Total | Covered | Percent |
TOTAL | | 32 | 32 | 100.00 |
ALWAYS | 52 | 3 | 3 | 100.00 |
ALWAYS | 55 | 29 | 29 | 100.00 |
51
52 3/3 `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled)
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled):
52.1 `ifdef SIMULATION
52.2 prim_sparse_fsm_flop #(
52.3 .StateEnumT(state_e),
52.4 .Width($bits(state_e)),
52.5 .ResetValue($bits(state_e)'(Disabled)),
52.6 .EnableAlertTriggerSVA(1),
52.7 .CustomForceName("state_q")
52.8 ) u_state_regs (
52.9 .clk_i ( clk_i ),
52.10 .rst_ni ( rst_ni ),
52.11 .state_i ( state_d ),
52.12 .state_o ( )
52.13 );
52.14 always_ff @(posedge clk_i or negedge rst_ni) begin
52.15 1/1 if (!rst_ni) begin
Tests: T1 T2 T3
52.16 1/1 state_q <= Disabled;
Tests: T1 T2 T3
52.17 end else begin
52.18 1/1 state_q <= state_d;
Tests: T1 T2 T3
52.19 end
52.20 end
52.21 u_state_regs_A: assert property (@(posedge clk_i) disable iff ((!rst_ni) !== '0) (state_q === u_state_regs.state_o))
52.22 else begin
52.23 `ifdef UVM
52.24 uvm_pkg::uvm_report_error("ASSERT FAILED", "u_state_regs_A", uvm_pkg::UVM_NONE,
52.25 "../src/lowrisc_ip_edn_0.1/rtl/edn_ack_sm.sv", 52, "", 1);
52.26 `else
52.27 $error("%0t: (%0s:%0d) [%m] [ASSERT FAILED] %0s", $time, `__FILE__, `__LINE__,
52.28 `PRIM_STRINGIFY(u_state_regs_A));
52.29 `endif
52.30 end
52.31 `else
52.32 prim_sparse_fsm_flop #(
52.33 .StateEnumT(state_e),
52.34 .Width($bits(state_e)),
52.35 .ResetValue($bits(state_e)'(Disabled)),
52.36 .EnableAlertTriggerSVA(1)
52.37 ) u_state_regs (
52.38 .clk_i ( `PRIM_FLOP_CLK ),
52.39 .rst_ni ( `PRIM_FLOP_RST ),
52.40 .state_i ( state_d ),
52.41 .state_o ( state_q )
52.42 );
52.43 `endif53
54 always_comb begin
55 1/1 state_d = state_q;
Tests: T1 T2 T3
56 1/1 ack_o = 1'b0;
Tests: T1 T2 T3
57 1/1 fifo_clr_o = 1'b0;
Tests: T1 T2 T3
58 1/1 fifo_pop_o = 1'b0;
Tests: T1 T2 T3
59 1/1 ack_sm_err_o = 1'b0;
Tests: T1 T2 T3
60 1/1 unique case (state_q)
Tests: T1 T2 T3
61 Disabled: begin
62 1/1 if (enable_i) begin
Tests: T1 T2 T3
63 1/1 state_d = EndPointClear;
Tests: T1 T2 T3
64 1/1 fifo_clr_o = 1'b1;
Tests: T1 T2 T3
65 end
MISSING_ELSE
66 end
67 EndPointClear: begin
68 1/1 state_d = Idle;
Tests: T1 T2 T3
69 end
70 Idle: begin
71 1/1 if (req_i) begin
Tests: T1 T2 T3
72 1/1 if (fifo_not_empty_i) begin
Tests: T1 T2 T3
73 1/1 fifo_pop_o = 1'b1;
Tests: T1 T2 T3
74 end
MISSING_ELSE
75 1/1 state_d = DataWait;
Tests: T1 T2 T3
76 end
MISSING_ELSE
77 end
78 DataWait: begin
79 1/1 if (fifo_not_empty_i) begin
Tests: T1 T2 T3
80 1/1 state_d = AckPls;
Tests: T1 T2 T3
81 end
MISSING_ELSE
82 end
83 AckPls: begin
84 1/1 ack_o = 1'b1;
Tests: T1 T2 T3
85 1/1 state_d = Idle;
Tests: T1 T2 T3
86 end
87 Error: begin
88 1/1 ack_sm_err_o = 1'b1;
Tests: T4 T5 T30
89 end
90 default: begin
91 ack_sm_err_o = 1'b1;
92 state_d = Error;
93 end
94 endcase // unique case (state_q)
95
96 // If local escalation is seen, transition directly to
97 // error state.
98 1/1 if (local_escalate_i) begin
Tests: T1 T2 T3
99 1/1 state_d = Error;
Tests: T4 T5 T30
100 // Tie off outputs, except for ack_sm_err_o.
101 1/1 ack_o = 1'b0;
Tests: T4 T5 T30
102 1/1 fifo_clr_o = 1'b0;
Tests: T4 T5 T30
103 1/1 fifo_pop_o = 1'b0;
Tests: T4 T5 T30
104 1/1 end else if (!enable_i && state_q inside {EndPointClear, Idle, DataWait, AckPls}) begin
Tests: T1 T2 T3
105 // Only disable if state is legal and not Disabled or Error.
106 // Even when disabled, illegal states must result in a transition to Error.
107 1/1 state_d = Disabled;
Tests: T22 T5 T23
108 // Tie off all outputs, except for ack_sm_err_o.
109 1/1 ack_o = 1'b0;
Tests: T22 T5 T23
110 1/1 fifo_pop_o = 1'b0;
Tests: T22 T5 T23
111 1/1 fifo_clr_o = 1'b0;
Tests: T22 T5 T23
112 end
MISSING_ELSE
Cond Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[0].u_edn_ack_sm_ep
| Total | Covered | Percent |
Conditions | 3 | 3 | 100.00 |
Logical | 3 | 3 | 100.00 |
Non-Logical | 0 | 0 | |
Event | 0 | 0 | |
LINE 104
EXPRESSION (((!enable_i)) && (state_q inside {EndPointClear, Idle, DataWait, AckPls}))
------1------ ----------------------------2---------------------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T22,T5,T23 |
FSM Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[0].u_edn_ack_sm_ep
Summary for FSM :: state_q
| Total | Covered | Percent | |
States |
6 |
6 |
100.00 |
(Not included in score) |
Transitions |
14 |
12 |
85.71 |
|
Sequences |
0 |
0 |
|
|
State, Transition and Sequence Details for FSM :: state_q
states | Line No. | Covered | Tests |
AckPls |
80 |
Covered |
T1,T2,T3 |
DataWait |
75 |
Covered |
T1,T2,T3 |
Disabled |
107 |
Covered |
T1,T2,T3 |
EndPointClear |
63 |
Covered |
T1,T2,T3 |
Error |
99 |
Covered |
T4,T5,T30 |
Idle |
68 |
Covered |
T1,T2,T3 |
transitions | Line No. | Covered | Tests |
AckPls->Disabled |
107 |
Not Covered |
|
AckPls->Error |
99 |
Not Covered |
|
AckPls->Idle |
85 |
Covered |
T1,T2,T3 |
DataWait->AckPls |
80 |
Covered |
T1,T2,T3 |
DataWait->Disabled |
107 |
Covered |
T36,T206,T38 |
DataWait->Error |
99 |
Covered |
T65,T97,T205 |
Disabled->EndPointClear |
63 |
Covered |
T1,T2,T3 |
Disabled->Error |
99 |
Covered |
T14,T15,T16 |
EndPointClear->Disabled |
107 |
Covered |
T6,T17,T107 |
EndPointClear->Error |
99 |
Covered |
T14,T15,T16 |
EndPointClear->Idle |
68 |
Covered |
T1,T2,T3 |
Idle->DataWait |
75 |
Covered |
T1,T2,T3 |
Idle->Disabled |
107 |
Covered |
T22,T5,T23 |
Idle->Error |
99 |
Covered |
T4,T5,T7 |
Branch Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[0].u_edn_ack_sm_ep
| Line No. | Total | Covered | Percent |
Branches |
|
16 |
16 |
100.00 |
IF |
52 |
2 |
2 |
100.00 |
CASE |
60 |
11 |
11 |
100.00 |
IF |
98 |
3 |
3 |
100.00 |
52 `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled)
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
60 unique case (state_q)
-1-
61 Disabled: begin
62 if (enable_i) begin
-2-
63 state_d = EndPointClear;
==>
64 fifo_clr_o = 1'b1;
65 end
MISSING_ELSE
==>
66 end
67 EndPointClear: begin
68 state_d = Idle;
==>
69 end
70 Idle: begin
71 if (req_i) begin
-3-
72 if (fifo_not_empty_i) begin
-4-
73 fifo_pop_o = 1'b1;
==>
74 end
MISSING_ELSE
==>
75 state_d = DataWait;
76 end
MISSING_ELSE
==>
77 end
78 DataWait: begin
79 if (fifo_not_empty_i) begin
-5-
80 state_d = AckPls;
==>
81 end
MISSING_ELSE
==>
82 end
83 AckPls: begin
84 ack_o = 1'b1;
==>
85 state_d = Idle;
86 end
87 Error: begin
88 ack_sm_err_o = 1'b1;
==>
89 end
90 default: begin
91 ack_sm_err_o = 1'b1;
==>
Branches:
-1- | -2- | -3- | -4- | -5- | Status | Tests |
Disabled |
1 |
- |
- |
- |
Covered |
T1,T2,T3 |
Disabled |
0 |
- |
- |
- |
Covered |
T1,T2,T3 |
EndPointClear |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
Idle |
- |
1 |
1 |
- |
Covered |
T1,T2,T3 |
Idle |
- |
1 |
0 |
- |
Covered |
T1,T2,T3 |
Idle |
- |
0 |
- |
- |
Covered |
T1,T2,T3 |
DataWait |
- |
- |
- |
1 |
Covered |
T1,T2,T3 |
DataWait |
- |
- |
- |
0 |
Covered |
T1,T2,T3 |
AckPls |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
Error |
- |
- |
- |
- |
Covered |
T4,T5,T30 |
default |
- |
- |
- |
- |
Covered |
T30,T14,T15 |
98 if (local_escalate_i) begin
-1-
99 state_d = Error;
==>
100 // Tie off outputs, except for ack_sm_err_o.
101 ack_o = 1'b0;
102 fifo_clr_o = 1'b0;
103 fifo_pop_o = 1'b0;
104 end else if (!enable_i && state_q inside {EndPointClear, Idle, DataWait, AckPls}) begin
-2-
105 // Only disable if state is legal and not Disabled or Error.
106 // Even when disabled, illegal states must result in a transition to Error.
107 state_d = Disabled;
==>
108 // Tie off all outputs, except for ack_sm_err_o.
109 ack_o = 1'b0;
110 fifo_pop_o = 1'b0;
111 fifo_clr_o = 1'b0;
112 end
MISSING_ELSE
==>
Branches:
-1- | -2- | Status | Tests |
1 |
- |
Covered |
T4,T5,T30 |
0 |
1 |
Covered |
T22,T5,T23 |
0 |
0 |
Covered |
T1,T2,T3 |
Assert Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[0].u_edn_ack_sm_ep
Assertion Details
AckSmErrorStStable_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11370796 |
147952 |
0 |
0 |
T4 |
624 |
258 |
0 |
0 |
T5 |
941 |
392 |
0 |
0 |
T6 |
23340 |
0 |
0 |
0 |
T7 |
0 |
602 |
0 |
0 |
T9 |
2556 |
0 |
0 |
0 |
T14 |
0 |
7464 |
0 |
0 |
T15 |
0 |
10240 |
0 |
0 |
T16 |
0 |
7941 |
0 |
0 |
T22 |
1032 |
0 |
0 |
0 |
T23 |
2164 |
0 |
0 |
0 |
T24 |
879 |
0 |
0 |
0 |
T25 |
1927 |
0 |
0 |
0 |
T26 |
1926 |
0 |
0 |
0 |
T30 |
0 |
347 |
0 |
0 |
T39 |
3478 |
0 |
0 |
0 |
T59 |
0 |
1098 |
0 |
0 |
T65 |
0 |
258 |
0 |
0 |
T69 |
0 |
586 |
0 |
0 |
FpvSecCmErrorStEscalate_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11370796 |
148996 |
0 |
0 |
T4 |
624 |
259 |
0 |
0 |
T5 |
941 |
393 |
0 |
0 |
T6 |
23340 |
0 |
0 |
0 |
T7 |
0 |
603 |
0 |
0 |
T9 |
2556 |
0 |
0 |
0 |
T14 |
0 |
7594 |
0 |
0 |
T15 |
0 |
10370 |
0 |
0 |
T16 |
0 |
8071 |
0 |
0 |
T22 |
1032 |
0 |
0 |
0 |
T23 |
2164 |
0 |
0 |
0 |
T24 |
879 |
0 |
0 |
0 |
T25 |
1927 |
0 |
0 |
0 |
T26 |
1926 |
0 |
0 |
0 |
T30 |
0 |
348 |
0 |
0 |
T39 |
3478 |
0 |
0 |
0 |
T59 |
0 |
1099 |
0 |
0 |
T65 |
0 |
259 |
0 |
0 |
T69 |
0 |
587 |
0 |
0 |
u_state_regs_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11332408 |
11156343 |
0 |
0 |
T1 |
939 |
889 |
0 |
0 |
T2 |
1178 |
1122 |
0 |
0 |
T3 |
4104 |
4031 |
0 |
0 |
T4 |
512 |
338 |
0 |
0 |
T5 |
721 |
562 |
0 |
0 |
T9 |
2556 |
2475 |
0 |
0 |
T22 |
1032 |
957 |
0 |
0 |
T23 |
2164 |
2095 |
0 |
0 |
T24 |
879 |
800 |
0 |
0 |
T25 |
1927 |
1851 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[1].u_edn_ack_sm_ep
| Line No. | Total | Covered | Percent |
TOTAL | | 32 | 32 | 100.00 |
ALWAYS | 52 | 3 | 3 | 100.00 |
ALWAYS | 55 | 29 | 29 | 100.00 |
51
52 3/3 `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled)
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled):
52.1 `ifdef SIMULATION
52.2 prim_sparse_fsm_flop #(
52.3 .StateEnumT(state_e),
52.4 .Width($bits(state_e)),
52.5 .ResetValue($bits(state_e)'(Disabled)),
52.6 .EnableAlertTriggerSVA(1),
52.7 .CustomForceName("state_q")
52.8 ) u_state_regs (
52.9 .clk_i ( clk_i ),
52.10 .rst_ni ( rst_ni ),
52.11 .state_i ( state_d ),
52.12 .state_o ( )
52.13 );
52.14 always_ff @(posedge clk_i or negedge rst_ni) begin
52.15 1/1 if (!rst_ni) begin
Tests: T1 T2 T3
52.16 1/1 state_q <= Disabled;
Tests: T1 T2 T3
52.17 end else begin
52.18 1/1 state_q <= state_d;
Tests: T1 T2 T3
52.19 end
52.20 end
52.21 u_state_regs_A: assert property (@(posedge clk_i) disable iff ((!rst_ni) !== '0) (state_q === u_state_regs.state_o))
52.22 else begin
52.23 `ifdef UVM
52.24 uvm_pkg::uvm_report_error("ASSERT FAILED", "u_state_regs_A", uvm_pkg::UVM_NONE,
52.25 "../src/lowrisc_ip_edn_0.1/rtl/edn_ack_sm.sv", 52, "", 1);
52.26 `else
52.27 $error("%0t: (%0s:%0d) [%m] [ASSERT FAILED] %0s", $time, `__FILE__, `__LINE__,
52.28 `PRIM_STRINGIFY(u_state_regs_A));
52.29 `endif
52.30 end
52.31 `else
52.32 prim_sparse_fsm_flop #(
52.33 .StateEnumT(state_e),
52.34 .Width($bits(state_e)),
52.35 .ResetValue($bits(state_e)'(Disabled)),
52.36 .EnableAlertTriggerSVA(1)
52.37 ) u_state_regs (
52.38 .clk_i ( `PRIM_FLOP_CLK ),
52.39 .rst_ni ( `PRIM_FLOP_RST ),
52.40 .state_i ( state_d ),
52.41 .state_o ( state_q )
52.42 );
52.43 `endif53
54 always_comb begin
55 1/1 state_d = state_q;
Tests: T1 T2 T3
56 1/1 ack_o = 1'b0;
Tests: T1 T2 T3
57 1/1 fifo_clr_o = 1'b0;
Tests: T1 T2 T3
58 1/1 fifo_pop_o = 1'b0;
Tests: T1 T2 T3
59 1/1 ack_sm_err_o = 1'b0;
Tests: T1 T2 T3
60 1/1 unique case (state_q)
Tests: T1 T2 T3
61 Disabled: begin
62 1/1 if (enable_i) begin
Tests: T1 T2 T3
63 1/1 state_d = EndPointClear;
Tests: T1 T2 T3
64 1/1 fifo_clr_o = 1'b1;
Tests: T1 T2 T3
65 end
MISSING_ELSE
66 end
67 EndPointClear: begin
68 1/1 state_d = Idle;
Tests: T1 T2 T3
69 end
70 Idle: begin
71 1/1 if (req_i) begin
Tests: T1 T2 T3
72 1/1 if (fifo_not_empty_i) begin
Tests: T4 T23 T9
73 1/1 fifo_pop_o = 1'b1;
Tests: T4 T23 T9
74 end
MISSING_ELSE
75 1/1 state_d = DataWait;
Tests: T4 T23 T9
76 end
MISSING_ELSE
77 end
78 DataWait: begin
79 1/1 if (fifo_not_empty_i) begin
Tests: T4 T23 T9
80 1/1 state_d = AckPls;
Tests: T4 T23 T9
81 end
MISSING_ELSE
82 end
83 AckPls: begin
84 1/1 ack_o = 1'b1;
Tests: T4 T23 T9
85 1/1 state_d = Idle;
Tests: T4 T23 T9
86 end
87 Error: begin
88 1/1 ack_sm_err_o = 1'b1;
Tests: T4 T5 T30
89 end
90 default: begin
91 ack_sm_err_o = 1'b1;
92 state_d = Error;
93 end
94 endcase // unique case (state_q)
95
96 // If local escalation is seen, transition directly to
97 // error state.
98 1/1 if (local_escalate_i) begin
Tests: T1 T2 T3
99 1/1 state_d = Error;
Tests: T4 T5 T30
100 // Tie off outputs, except for ack_sm_err_o.
101 1/1 ack_o = 1'b0;
Tests: T4 T5 T30
102 1/1 fifo_clr_o = 1'b0;
Tests: T4 T5 T30
103 1/1 fifo_pop_o = 1'b0;
Tests: T4 T5 T30
104 1/1 end else if (!enable_i && state_q inside {EndPointClear, Idle, DataWait, AckPls}) begin
Tests: T1 T2 T3
105 // Only disable if state is legal and not Disabled or Error.
106 // Even when disabled, illegal states must result in a transition to Error.
107 1/1 state_d = Disabled;
Tests: T22 T5 T23
108 // Tie off all outputs, except for ack_sm_err_o.
109 1/1 ack_o = 1'b0;
Tests: T22 T5 T23
110 1/1 fifo_pop_o = 1'b0;
Tests: T22 T5 T23
111 1/1 fifo_clr_o = 1'b0;
Tests: T22 T5 T23
112 end
MISSING_ELSE
Cond Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[1].u_edn_ack_sm_ep
| Total | Covered | Percent |
Conditions | 3 | 3 | 100.00 |
Logical | 3 | 3 | 100.00 |
Non-Logical | 0 | 0 | |
Event | 0 | 0 | |
LINE 104
EXPRESSION (((!enable_i)) && (state_q inside {EndPointClear, Idle, DataWait, AckPls}))
------1------ ----------------------------2---------------------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T22,T5,T23 |
FSM Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[1].u_edn_ack_sm_ep
Summary for FSM :: state_q
| Total | Covered | Percent | |
States |
6 |
6 |
100.00 |
(Not included in score) |
Transitions |
14 |
12 |
85.71 |
|
Sequences |
0 |
0 |
|
|
State, Transition and Sequence Details for FSM :: state_q
states | Line No. | Covered | Tests |
AckPls |
80 |
Covered |
T4,T23,T9 |
DataWait |
75 |
Covered |
T4,T23,T9 |
Disabled |
107 |
Covered |
T1,T2,T3 |
EndPointClear |
63 |
Covered |
T1,T2,T3 |
Error |
99 |
Covered |
T4,T5,T30 |
Idle |
68 |
Covered |
T1,T2,T3 |
transitions | Line No. | Covered | Tests |
AckPls->Disabled |
107 |
Not Covered |
|
AckPls->Error |
99 |
Not Covered |
|
AckPls->Idle |
85 |
Covered |
T4,T23,T9 |
DataWait->AckPls |
80 |
Covered |
T4,T23,T9 |
DataWait->Disabled |
107 |
Covered |
T207,T208 |
DataWait->Error |
99 |
Covered |
T209,T193,T210 |
Disabled->EndPointClear |
63 |
Covered |
T1,T2,T3 |
Disabled->Error |
99 |
Covered |
T14,T15,T16 |
EndPointClear->Disabled |
107 |
Covered |
T6,T17,T107 |
EndPointClear->Error |
99 |
Covered |
T14,T15,T16 |
EndPointClear->Idle |
68 |
Covered |
T1,T2,T3 |
Idle->DataWait |
75 |
Covered |
T4,T23,T9 |
Idle->Disabled |
107 |
Covered |
T22,T5,T23 |
Idle->Error |
99 |
Covered |
T4,T5,T30 |
Branch Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[1].u_edn_ack_sm_ep
| Line No. | Total | Covered | Percent |
Branches |
|
16 |
16 |
100.00 |
IF |
52 |
2 |
2 |
100.00 |
CASE |
60 |
11 |
11 |
100.00 |
IF |
98 |
3 |
3 |
100.00 |
52 `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled)
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
60 unique case (state_q)
-1-
61 Disabled: begin
62 if (enable_i) begin
-2-
63 state_d = EndPointClear;
==>
64 fifo_clr_o = 1'b1;
65 end
MISSING_ELSE
==>
66 end
67 EndPointClear: begin
68 state_d = Idle;
==>
69 end
70 Idle: begin
71 if (req_i) begin
-3-
72 if (fifo_not_empty_i) begin
-4-
73 fifo_pop_o = 1'b1;
==>
74 end
MISSING_ELSE
==>
75 state_d = DataWait;
76 end
MISSING_ELSE
==>
77 end
78 DataWait: begin
79 if (fifo_not_empty_i) begin
-5-
80 state_d = AckPls;
==>
81 end
MISSING_ELSE
==>
82 end
83 AckPls: begin
84 ack_o = 1'b1;
==>
85 state_d = Idle;
86 end
87 Error: begin
88 ack_sm_err_o = 1'b1;
==>
89 end
90 default: begin
91 ack_sm_err_o = 1'b1;
==>
Branches:
-1- | -2- | -3- | -4- | -5- | Status | Tests |
Disabled |
1 |
- |
- |
- |
Covered |
T1,T2,T3 |
Disabled |
0 |
- |
- |
- |
Covered |
T1,T2,T3 |
EndPointClear |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
Idle |
- |
1 |
1 |
- |
Covered |
T4,T23,T9 |
Idle |
- |
1 |
0 |
- |
Covered |
T4,T23,T9 |
Idle |
- |
0 |
- |
- |
Covered |
T1,T2,T3 |
DataWait |
- |
- |
- |
1 |
Covered |
T4,T23,T9 |
DataWait |
- |
- |
- |
0 |
Covered |
T23,T9,T39 |
AckPls |
- |
- |
- |
- |
Covered |
T4,T23,T9 |
Error |
- |
- |
- |
- |
Covered |
T4,T5,T30 |
default |
- |
- |
- |
- |
Covered |
T14,T15,T16 |
98 if (local_escalate_i) begin
-1-
99 state_d = Error;
==>
100 // Tie off outputs, except for ack_sm_err_o.
101 ack_o = 1'b0;
102 fifo_clr_o = 1'b0;
103 fifo_pop_o = 1'b0;
104 end else if (!enable_i && state_q inside {EndPointClear, Idle, DataWait, AckPls}) begin
-2-
105 // Only disable if state is legal and not Disabled or Error.
106 // Even when disabled, illegal states must result in a transition to Error.
107 state_d = Disabled;
==>
108 // Tie off all outputs, except for ack_sm_err_o.
109 ack_o = 1'b0;
110 fifo_pop_o = 1'b0;
111 fifo_clr_o = 1'b0;
112 end
MISSING_ELSE
==>
Branches:
-1- | -2- | Status | Tests |
1 |
- |
Covered |
T4,T5,T30 |
0 |
1 |
Covered |
T22,T5,T23 |
0 |
0 |
Covered |
T1,T2,T3 |
Assert Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[1].u_edn_ack_sm_ep
Assertion Details
AckSmErrorStStable_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11370796 |
150102 |
0 |
0 |
T4 |
624 |
258 |
0 |
0 |
T5 |
941 |
392 |
0 |
0 |
T6 |
23340 |
0 |
0 |
0 |
T7 |
0 |
602 |
0 |
0 |
T9 |
2556 |
0 |
0 |
0 |
T14 |
0 |
7464 |
0 |
0 |
T15 |
0 |
10240 |
0 |
0 |
T16 |
0 |
7941 |
0 |
0 |
T22 |
1032 |
0 |
0 |
0 |
T23 |
2164 |
0 |
0 |
0 |
T24 |
879 |
0 |
0 |
0 |
T25 |
1927 |
0 |
0 |
0 |
T26 |
1926 |
0 |
0 |
0 |
T30 |
0 |
397 |
0 |
0 |
T39 |
3478 |
0 |
0 |
0 |
T59 |
0 |
1098 |
0 |
0 |
T65 |
0 |
258 |
0 |
0 |
T69 |
0 |
636 |
0 |
0 |
FpvSecCmErrorStEscalate_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11370796 |
151146 |
0 |
0 |
T4 |
624 |
259 |
0 |
0 |
T5 |
941 |
393 |
0 |
0 |
T6 |
23340 |
0 |
0 |
0 |
T7 |
0 |
603 |
0 |
0 |
T9 |
2556 |
0 |
0 |
0 |
T14 |
0 |
7594 |
0 |
0 |
T15 |
0 |
10370 |
0 |
0 |
T16 |
0 |
8071 |
0 |
0 |
T22 |
1032 |
0 |
0 |
0 |
T23 |
2164 |
0 |
0 |
0 |
T24 |
879 |
0 |
0 |
0 |
T25 |
1927 |
0 |
0 |
0 |
T26 |
1926 |
0 |
0 |
0 |
T30 |
0 |
398 |
0 |
0 |
T39 |
3478 |
0 |
0 |
0 |
T59 |
0 |
1099 |
0 |
0 |
T65 |
0 |
259 |
0 |
0 |
T69 |
0 |
637 |
0 |
0 |
u_state_regs_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11370796 |
11194731 |
0 |
0 |
T1 |
939 |
889 |
0 |
0 |
T2 |
1178 |
1122 |
0 |
0 |
T3 |
4104 |
4031 |
0 |
0 |
T4 |
624 |
450 |
0 |
0 |
T5 |
941 |
782 |
0 |
0 |
T9 |
2556 |
2475 |
0 |
0 |
T22 |
1032 |
957 |
0 |
0 |
T23 |
2164 |
2095 |
0 |
0 |
T24 |
879 |
800 |
0 |
0 |
T25 |
1927 |
1851 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[2].u_edn_ack_sm_ep
| Line No. | Total | Covered | Percent |
TOTAL | | 32 | 32 | 100.00 |
ALWAYS | 52 | 3 | 3 | 100.00 |
ALWAYS | 55 | 29 | 29 | 100.00 |
51
52 3/3 `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled)
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled):
52.1 `ifdef SIMULATION
52.2 prim_sparse_fsm_flop #(
52.3 .StateEnumT(state_e),
52.4 .Width($bits(state_e)),
52.5 .ResetValue($bits(state_e)'(Disabled)),
52.6 .EnableAlertTriggerSVA(1),
52.7 .CustomForceName("state_q")
52.8 ) u_state_regs (
52.9 .clk_i ( clk_i ),
52.10 .rst_ni ( rst_ni ),
52.11 .state_i ( state_d ),
52.12 .state_o ( )
52.13 );
52.14 always_ff @(posedge clk_i or negedge rst_ni) begin
52.15 1/1 if (!rst_ni) begin
Tests: T1 T2 T3
52.16 1/1 state_q <= Disabled;
Tests: T1 T2 T3
52.17 end else begin
52.18 1/1 state_q <= state_d;
Tests: T1 T2 T3
52.19 end
52.20 end
52.21 u_state_regs_A: assert property (@(posedge clk_i) disable iff ((!rst_ni) !== '0) (state_q === u_state_regs.state_o))
52.22 else begin
52.23 `ifdef UVM
52.24 uvm_pkg::uvm_report_error("ASSERT FAILED", "u_state_regs_A", uvm_pkg::UVM_NONE,
52.25 "../src/lowrisc_ip_edn_0.1/rtl/edn_ack_sm.sv", 52, "", 1);
52.26 `else
52.27 $error("%0t: (%0s:%0d) [%m] [ASSERT FAILED] %0s", $time, `__FILE__, `__LINE__,
52.28 `PRIM_STRINGIFY(u_state_regs_A));
52.29 `endif
52.30 end
52.31 `else
52.32 prim_sparse_fsm_flop #(
52.33 .StateEnumT(state_e),
52.34 .Width($bits(state_e)),
52.35 .ResetValue($bits(state_e)'(Disabled)),
52.36 .EnableAlertTriggerSVA(1)
52.37 ) u_state_regs (
52.38 .clk_i ( `PRIM_FLOP_CLK ),
52.39 .rst_ni ( `PRIM_FLOP_RST ),
52.40 .state_i ( state_d ),
52.41 .state_o ( state_q )
52.42 );
52.43 `endif53
54 always_comb begin
55 1/1 state_d = state_q;
Tests: T1 T2 T3
56 1/1 ack_o = 1'b0;
Tests: T1 T2 T3
57 1/1 fifo_clr_o = 1'b0;
Tests: T1 T2 T3
58 1/1 fifo_pop_o = 1'b0;
Tests: T1 T2 T3
59 1/1 ack_sm_err_o = 1'b0;
Tests: T1 T2 T3
60 1/1 unique case (state_q)
Tests: T1 T2 T3
61 Disabled: begin
62 1/1 if (enable_i) begin
Tests: T1 T2 T3
63 1/1 state_d = EndPointClear;
Tests: T1 T2 T3
64 1/1 fifo_clr_o = 1'b1;
Tests: T1 T2 T3
65 end
MISSING_ELSE
66 end
67 EndPointClear: begin
68 1/1 state_d = Idle;
Tests: T1 T2 T3
69 end
70 Idle: begin
71 1/1 if (req_i) begin
Tests: T1 T2 T3
72 1/1 if (fifo_not_empty_i) begin
Tests: T39 T17 T45
73 1/1 fifo_pop_o = 1'b1;
Tests: T39 T17 T45
74 end
MISSING_ELSE
75 1/1 state_d = DataWait;
Tests: T39 T17 T45
76 end
MISSING_ELSE
77 end
78 DataWait: begin
79 1/1 if (fifo_not_empty_i) begin
Tests: T39 T17 T45
80 1/1 state_d = AckPls;
Tests: T39 T17 T45
81 end
MISSING_ELSE
82 end
83 AckPls: begin
84 1/1 ack_o = 1'b1;
Tests: T39 T17 T45
85 1/1 state_d = Idle;
Tests: T39 T17 T45
86 end
87 Error: begin
88 1/1 ack_sm_err_o = 1'b1;
Tests: T4 T5 T30
89 end
90 default: begin
91 ack_sm_err_o = 1'b1;
92 state_d = Error;
93 end
94 endcase // unique case (state_q)
95
96 // If local escalation is seen, transition directly to
97 // error state.
98 1/1 if (local_escalate_i) begin
Tests: T1 T2 T3
99 1/1 state_d = Error;
Tests: T4 T5 T30
100 // Tie off outputs, except for ack_sm_err_o.
101 1/1 ack_o = 1'b0;
Tests: T4 T5 T30
102 1/1 fifo_clr_o = 1'b0;
Tests: T4 T5 T30
103 1/1 fifo_pop_o = 1'b0;
Tests: T4 T5 T30
104 1/1 end else if (!enable_i && state_q inside {EndPointClear, Idle, DataWait, AckPls}) begin
Tests: T1 T2 T3
105 // Only disable if state is legal and not Disabled or Error.
106 // Even when disabled, illegal states must result in a transition to Error.
107 1/1 state_d = Disabled;
Tests: T22 T5 T23
108 // Tie off all outputs, except for ack_sm_err_o.
109 1/1 ack_o = 1'b0;
Tests: T22 T5 T23
110 1/1 fifo_pop_o = 1'b0;
Tests: T22 T5 T23
111 1/1 fifo_clr_o = 1'b0;
Tests: T22 T5 T23
112 end
MISSING_ELSE
Cond Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[2].u_edn_ack_sm_ep
| Total | Covered | Percent |
Conditions | 3 | 3 | 100.00 |
Logical | 3 | 3 | 100.00 |
Non-Logical | 0 | 0 | |
Event | 0 | 0 | |
LINE 104
EXPRESSION (((!enable_i)) && (state_q inside {EndPointClear, Idle, DataWait, AckPls}))
------1------ ----------------------------2---------------------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T22,T5,T23 |
FSM Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[2].u_edn_ack_sm_ep
Summary for FSM :: state_q
| Total | Covered | Percent | |
States |
6 |
6 |
100.00 |
(Not included in score) |
Transitions |
14 |
12 |
85.71 |
|
Sequences |
0 |
0 |
|
|
State, Transition and Sequence Details for FSM :: state_q
states | Line No. | Covered | Tests |
AckPls |
80 |
Covered |
T39,T17,T45 |
DataWait |
75 |
Covered |
T39,T17,T45 |
Disabled |
107 |
Covered |
T1,T2,T3 |
EndPointClear |
63 |
Covered |
T1,T2,T3 |
Error |
99 |
Covered |
T4,T5,T30 |
Idle |
68 |
Covered |
T1,T2,T3 |
transitions | Line No. | Covered | Tests |
AckPls->Disabled |
107 |
Not Covered |
|
AckPls->Error |
99 |
Not Covered |
|
AckPls->Idle |
85 |
Covered |
T39,T17,T45 |
DataWait->AckPls |
80 |
Covered |
T39,T17,T45 |
DataWait->Disabled |
107 |
Covered |
T76,T211 |
DataWait->Error |
99 |
Covered |
T168,T169,T212 |
Disabled->EndPointClear |
63 |
Covered |
T1,T2,T3 |
Disabled->Error |
99 |
Covered |
T14,T15,T16 |
EndPointClear->Disabled |
107 |
Covered |
T6,T17,T107 |
EndPointClear->Error |
99 |
Covered |
T14,T15,T16 |
EndPointClear->Idle |
68 |
Covered |
T1,T2,T3 |
Idle->DataWait |
75 |
Covered |
T39,T17,T45 |
Idle->Disabled |
107 |
Covered |
T22,T5,T23 |
Idle->Error |
99 |
Covered |
T4,T5,T30 |
Branch Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[2].u_edn_ack_sm_ep
| Line No. | Total | Covered | Percent |
Branches |
|
16 |
16 |
100.00 |
IF |
52 |
2 |
2 |
100.00 |
CASE |
60 |
11 |
11 |
100.00 |
IF |
98 |
3 |
3 |
100.00 |
52 `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled)
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
60 unique case (state_q)
-1-
61 Disabled: begin
62 if (enable_i) begin
-2-
63 state_d = EndPointClear;
==>
64 fifo_clr_o = 1'b1;
65 end
MISSING_ELSE
==>
66 end
67 EndPointClear: begin
68 state_d = Idle;
==>
69 end
70 Idle: begin
71 if (req_i) begin
-3-
72 if (fifo_not_empty_i) begin
-4-
73 fifo_pop_o = 1'b1;
==>
74 end
MISSING_ELSE
==>
75 state_d = DataWait;
76 end
MISSING_ELSE
==>
77 end
78 DataWait: begin
79 if (fifo_not_empty_i) begin
-5-
80 state_d = AckPls;
==>
81 end
MISSING_ELSE
==>
82 end
83 AckPls: begin
84 ack_o = 1'b1;
==>
85 state_d = Idle;
86 end
87 Error: begin
88 ack_sm_err_o = 1'b1;
==>
89 end
90 default: begin
91 ack_sm_err_o = 1'b1;
==>
Branches:
-1- | -2- | -3- | -4- | -5- | Status | Tests |
Disabled |
1 |
- |
- |
- |
Covered |
T1,T2,T3 |
Disabled |
0 |
- |
- |
- |
Covered |
T1,T2,T3 |
EndPointClear |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
Idle |
- |
1 |
1 |
- |
Covered |
T39,T17,T45 |
Idle |
- |
1 |
0 |
- |
Covered |
T39,T17,T45 |
Idle |
- |
0 |
- |
- |
Covered |
T1,T2,T3 |
DataWait |
- |
- |
- |
1 |
Covered |
T39,T17,T45 |
DataWait |
- |
- |
- |
0 |
Covered |
T39,T17,T45 |
AckPls |
- |
- |
- |
- |
Covered |
T39,T17,T45 |
Error |
- |
- |
- |
- |
Covered |
T4,T5,T30 |
default |
- |
- |
- |
- |
Covered |
T14,T15,T16 |
98 if (local_escalate_i) begin
-1-
99 state_d = Error;
==>
100 // Tie off outputs, except for ack_sm_err_o.
101 ack_o = 1'b0;
102 fifo_clr_o = 1'b0;
103 fifo_pop_o = 1'b0;
104 end else if (!enable_i && state_q inside {EndPointClear, Idle, DataWait, AckPls}) begin
-2-
105 // Only disable if state is legal and not Disabled or Error.
106 // Even when disabled, illegal states must result in a transition to Error.
107 state_d = Disabled;
==>
108 // Tie off all outputs, except for ack_sm_err_o.
109 ack_o = 1'b0;
110 fifo_pop_o = 1'b0;
111 fifo_clr_o = 1'b0;
112 end
MISSING_ELSE
==>
Branches:
-1- | -2- | Status | Tests |
1 |
- |
Covered |
T4,T5,T30 |
0 |
1 |
Covered |
T22,T5,T23 |
0 |
0 |
Covered |
T1,T2,T3 |
Assert Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[2].u_edn_ack_sm_ep
Assertion Details
AckSmErrorStStable_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11370796 |
150102 |
0 |
0 |
T4 |
624 |
258 |
0 |
0 |
T5 |
941 |
392 |
0 |
0 |
T6 |
23340 |
0 |
0 |
0 |
T7 |
0 |
602 |
0 |
0 |
T9 |
2556 |
0 |
0 |
0 |
T14 |
0 |
7464 |
0 |
0 |
T15 |
0 |
10240 |
0 |
0 |
T16 |
0 |
7941 |
0 |
0 |
T22 |
1032 |
0 |
0 |
0 |
T23 |
2164 |
0 |
0 |
0 |
T24 |
879 |
0 |
0 |
0 |
T25 |
1927 |
0 |
0 |
0 |
T26 |
1926 |
0 |
0 |
0 |
T30 |
0 |
397 |
0 |
0 |
T39 |
3478 |
0 |
0 |
0 |
T59 |
0 |
1098 |
0 |
0 |
T65 |
0 |
258 |
0 |
0 |
T69 |
0 |
636 |
0 |
0 |
FpvSecCmErrorStEscalate_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11370796 |
151146 |
0 |
0 |
T4 |
624 |
259 |
0 |
0 |
T5 |
941 |
393 |
0 |
0 |
T6 |
23340 |
0 |
0 |
0 |
T7 |
0 |
603 |
0 |
0 |
T9 |
2556 |
0 |
0 |
0 |
T14 |
0 |
7594 |
0 |
0 |
T15 |
0 |
10370 |
0 |
0 |
T16 |
0 |
8071 |
0 |
0 |
T22 |
1032 |
0 |
0 |
0 |
T23 |
2164 |
0 |
0 |
0 |
T24 |
879 |
0 |
0 |
0 |
T25 |
1927 |
0 |
0 |
0 |
T26 |
1926 |
0 |
0 |
0 |
T30 |
0 |
398 |
0 |
0 |
T39 |
3478 |
0 |
0 |
0 |
T59 |
0 |
1099 |
0 |
0 |
T65 |
0 |
259 |
0 |
0 |
T69 |
0 |
637 |
0 |
0 |
u_state_regs_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11370796 |
11194731 |
0 |
0 |
T1 |
939 |
889 |
0 |
0 |
T2 |
1178 |
1122 |
0 |
0 |
T3 |
4104 |
4031 |
0 |
0 |
T4 |
624 |
450 |
0 |
0 |
T5 |
941 |
782 |
0 |
0 |
T9 |
2556 |
2475 |
0 |
0 |
T22 |
1032 |
957 |
0 |
0 |
T23 |
2164 |
2095 |
0 |
0 |
T24 |
879 |
800 |
0 |
0 |
T25 |
1927 |
1851 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[3].u_edn_ack_sm_ep
| Line No. | Total | Covered | Percent |
TOTAL | | 32 | 32 | 100.00 |
ALWAYS | 52 | 3 | 3 | 100.00 |
ALWAYS | 55 | 29 | 29 | 100.00 |
51
52 3/3 `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled)
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled):
52.1 `ifdef SIMULATION
52.2 prim_sparse_fsm_flop #(
52.3 .StateEnumT(state_e),
52.4 .Width($bits(state_e)),
52.5 .ResetValue($bits(state_e)'(Disabled)),
52.6 .EnableAlertTriggerSVA(1),
52.7 .CustomForceName("state_q")
52.8 ) u_state_regs (
52.9 .clk_i ( clk_i ),
52.10 .rst_ni ( rst_ni ),
52.11 .state_i ( state_d ),
52.12 .state_o ( )
52.13 );
52.14 always_ff @(posedge clk_i or negedge rst_ni) begin
52.15 1/1 if (!rst_ni) begin
Tests: T1 T2 T3
52.16 1/1 state_q <= Disabled;
Tests: T1 T2 T3
52.17 end else begin
52.18 1/1 state_q <= state_d;
Tests: T1 T2 T3
52.19 end
52.20 end
52.21 u_state_regs_A: assert property (@(posedge clk_i) disable iff ((!rst_ni) !== '0) (state_q === u_state_regs.state_o))
52.22 else begin
52.23 `ifdef UVM
52.24 uvm_pkg::uvm_report_error("ASSERT FAILED", "u_state_regs_A", uvm_pkg::UVM_NONE,
52.25 "../src/lowrisc_ip_edn_0.1/rtl/edn_ack_sm.sv", 52, "", 1);
52.26 `else
52.27 $error("%0t: (%0s:%0d) [%m] [ASSERT FAILED] %0s", $time, `__FILE__, `__LINE__,
52.28 `PRIM_STRINGIFY(u_state_regs_A));
52.29 `endif
52.30 end
52.31 `else
52.32 prim_sparse_fsm_flop #(
52.33 .StateEnumT(state_e),
52.34 .Width($bits(state_e)),
52.35 .ResetValue($bits(state_e)'(Disabled)),
52.36 .EnableAlertTriggerSVA(1)
52.37 ) u_state_regs (
52.38 .clk_i ( `PRIM_FLOP_CLK ),
52.39 .rst_ni ( `PRIM_FLOP_RST ),
52.40 .state_i ( state_d ),
52.41 .state_o ( state_q )
52.42 );
52.43 `endif53
54 always_comb begin
55 1/1 state_d = state_q;
Tests: T1 T2 T3
56 1/1 ack_o = 1'b0;
Tests: T1 T2 T3
57 1/1 fifo_clr_o = 1'b0;
Tests: T1 T2 T3
58 1/1 fifo_pop_o = 1'b0;
Tests: T1 T2 T3
59 1/1 ack_sm_err_o = 1'b0;
Tests: T1 T2 T3
60 1/1 unique case (state_q)
Tests: T1 T2 T3
61 Disabled: begin
62 1/1 if (enable_i) begin
Tests: T1 T2 T3
63 1/1 state_d = EndPointClear;
Tests: T1 T2 T3
64 1/1 fifo_clr_o = 1'b1;
Tests: T1 T2 T3
65 end
MISSING_ELSE
66 end
67 EndPointClear: begin
68 1/1 state_d = Idle;
Tests: T1 T2 T3
69 end
70 Idle: begin
71 1/1 if (req_i) begin
Tests: T1 T2 T3
72 1/1 if (fifo_not_empty_i) begin
Tests: T39 T7 T49
73 1/1 fifo_pop_o = 1'b1;
Tests: T39 T7 T49
74 end
MISSING_ELSE
75 1/1 state_d = DataWait;
Tests: T39 T7 T49
76 end
MISSING_ELSE
77 end
78 DataWait: begin
79 1/1 if (fifo_not_empty_i) begin
Tests: T39 T7 T49
80 1/1 state_d = AckPls;
Tests: T39 T7 T49
81 end
MISSING_ELSE
82 end
83 AckPls: begin
84 1/1 ack_o = 1'b1;
Tests: T39 T7 T49
85 1/1 state_d = Idle;
Tests: T39 T7 T49
86 end
87 Error: begin
88 1/1 ack_sm_err_o = 1'b1;
Tests: T4 T5 T30
89 end
90 default: begin
91 ack_sm_err_o = 1'b1;
92 state_d = Error;
93 end
94 endcase // unique case (state_q)
95
96 // If local escalation is seen, transition directly to
97 // error state.
98 1/1 if (local_escalate_i) begin
Tests: T1 T2 T3
99 1/1 state_d = Error;
Tests: T4 T5 T30
100 // Tie off outputs, except for ack_sm_err_o.
101 1/1 ack_o = 1'b0;
Tests: T4 T5 T30
102 1/1 fifo_clr_o = 1'b0;
Tests: T4 T5 T30
103 1/1 fifo_pop_o = 1'b0;
Tests: T4 T5 T30
104 1/1 end else if (!enable_i && state_q inside {EndPointClear, Idle, DataWait, AckPls}) begin
Tests: T1 T2 T3
105 // Only disable if state is legal and not Disabled or Error.
106 // Even when disabled, illegal states must result in a transition to Error.
107 1/1 state_d = Disabled;
Tests: T22 T5 T23
108 // Tie off all outputs, except for ack_sm_err_o.
109 1/1 ack_o = 1'b0;
Tests: T22 T5 T23
110 1/1 fifo_pop_o = 1'b0;
Tests: T22 T5 T23
111 1/1 fifo_clr_o = 1'b0;
Tests: T22 T5 T23
112 end
MISSING_ELSE
Cond Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[3].u_edn_ack_sm_ep
| Total | Covered | Percent |
Conditions | 3 | 3 | 100.00 |
Logical | 3 | 3 | 100.00 |
Non-Logical | 0 | 0 | |
Event | 0 | 0 | |
LINE 104
EXPRESSION (((!enable_i)) && (state_q inside {EndPointClear, Idle, DataWait, AckPls}))
------1------ ----------------------------2---------------------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T22,T5,T23 |
FSM Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[3].u_edn_ack_sm_ep
Summary for FSM :: state_q
| Total | Covered | Percent | |
States |
6 |
6 |
100.00 |
(Not included in score) |
Transitions |
14 |
12 |
85.71 |
|
Sequences |
0 |
0 |
|
|
State, Transition and Sequence Details for FSM :: state_q
states | Line No. | Covered | Tests |
AckPls |
80 |
Covered |
T39,T7,T49 |
DataWait |
75 |
Covered |
T39,T7,T49 |
Disabled |
107 |
Covered |
T1,T2,T3 |
EndPointClear |
63 |
Covered |
T1,T2,T3 |
Error |
99 |
Covered |
T4,T5,T30 |
Idle |
68 |
Covered |
T1,T2,T3 |
transitions | Line No. | Covered | Tests |
AckPls->Disabled |
107 |
Not Covered |
|
AckPls->Error |
99 |
Not Covered |
|
AckPls->Idle |
85 |
Covered |
T39,T7,T49 |
DataWait->AckPls |
80 |
Covered |
T39,T7,T49 |
DataWait->Disabled |
107 |
Covered |
T48,T80,T131 |
DataWait->Error |
99 |
Covered |
T213,T144,T157 |
Disabled->EndPointClear |
63 |
Covered |
T1,T2,T3 |
Disabled->Error |
99 |
Covered |
T14,T15,T16 |
EndPointClear->Disabled |
107 |
Covered |
T6,T17,T107 |
EndPointClear->Error |
99 |
Covered |
T14,T15,T16 |
EndPointClear->Idle |
68 |
Covered |
T1,T2,T3 |
Idle->DataWait |
75 |
Covered |
T39,T7,T49 |
Idle->Disabled |
107 |
Covered |
T22,T5,T23 |
Idle->Error |
99 |
Covered |
T4,T5,T30 |
Branch Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[3].u_edn_ack_sm_ep
| Line No. | Total | Covered | Percent |
Branches |
|
16 |
16 |
100.00 |
IF |
52 |
2 |
2 |
100.00 |
CASE |
60 |
11 |
11 |
100.00 |
IF |
98 |
3 |
3 |
100.00 |
52 `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled)
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
60 unique case (state_q)
-1-
61 Disabled: begin
62 if (enable_i) begin
-2-
63 state_d = EndPointClear;
==>
64 fifo_clr_o = 1'b1;
65 end
MISSING_ELSE
==>
66 end
67 EndPointClear: begin
68 state_d = Idle;
==>
69 end
70 Idle: begin
71 if (req_i) begin
-3-
72 if (fifo_not_empty_i) begin
-4-
73 fifo_pop_o = 1'b1;
==>
74 end
MISSING_ELSE
==>
75 state_d = DataWait;
76 end
MISSING_ELSE
==>
77 end
78 DataWait: begin
79 if (fifo_not_empty_i) begin
-5-
80 state_d = AckPls;
==>
81 end
MISSING_ELSE
==>
82 end
83 AckPls: begin
84 ack_o = 1'b1;
==>
85 state_d = Idle;
86 end
87 Error: begin
88 ack_sm_err_o = 1'b1;
==>
89 end
90 default: begin
91 ack_sm_err_o = 1'b1;
==>
Branches:
-1- | -2- | -3- | -4- | -5- | Status | Tests |
Disabled |
1 |
- |
- |
- |
Covered |
T1,T2,T3 |
Disabled |
0 |
- |
- |
- |
Covered |
T1,T2,T3 |
EndPointClear |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
Idle |
- |
1 |
1 |
- |
Covered |
T39,T7,T49 |
Idle |
- |
1 |
0 |
- |
Covered |
T39,T7,T49 |
Idle |
- |
0 |
- |
- |
Covered |
T1,T2,T3 |
DataWait |
- |
- |
- |
1 |
Covered |
T39,T7,T49 |
DataWait |
- |
- |
- |
0 |
Covered |
T39,T7,T49 |
AckPls |
- |
- |
- |
- |
Covered |
T39,T7,T49 |
Error |
- |
- |
- |
- |
Covered |
T4,T5,T30 |
default |
- |
- |
- |
- |
Covered |
T14,T15,T16 |
98 if (local_escalate_i) begin
-1-
99 state_d = Error;
==>
100 // Tie off outputs, except for ack_sm_err_o.
101 ack_o = 1'b0;
102 fifo_clr_o = 1'b0;
103 fifo_pop_o = 1'b0;
104 end else if (!enable_i && state_q inside {EndPointClear, Idle, DataWait, AckPls}) begin
-2-
105 // Only disable if state is legal and not Disabled or Error.
106 // Even when disabled, illegal states must result in a transition to Error.
107 state_d = Disabled;
==>
108 // Tie off all outputs, except for ack_sm_err_o.
109 ack_o = 1'b0;
110 fifo_pop_o = 1'b0;
111 fifo_clr_o = 1'b0;
112 end
MISSING_ELSE
==>
Branches:
-1- | -2- | Status | Tests |
1 |
- |
Covered |
T4,T5,T30 |
0 |
1 |
Covered |
T22,T5,T23 |
0 |
0 |
Covered |
T1,T2,T3 |
Assert Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[3].u_edn_ack_sm_ep
Assertion Details
AckSmErrorStStable_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11370796 |
150102 |
0 |
0 |
T4 |
624 |
258 |
0 |
0 |
T5 |
941 |
392 |
0 |
0 |
T6 |
23340 |
0 |
0 |
0 |
T7 |
0 |
602 |
0 |
0 |
T9 |
2556 |
0 |
0 |
0 |
T14 |
0 |
7464 |
0 |
0 |
T15 |
0 |
10240 |
0 |
0 |
T16 |
0 |
7941 |
0 |
0 |
T22 |
1032 |
0 |
0 |
0 |
T23 |
2164 |
0 |
0 |
0 |
T24 |
879 |
0 |
0 |
0 |
T25 |
1927 |
0 |
0 |
0 |
T26 |
1926 |
0 |
0 |
0 |
T30 |
0 |
397 |
0 |
0 |
T39 |
3478 |
0 |
0 |
0 |
T59 |
0 |
1098 |
0 |
0 |
T65 |
0 |
258 |
0 |
0 |
T69 |
0 |
636 |
0 |
0 |
FpvSecCmErrorStEscalate_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11370796 |
151146 |
0 |
0 |
T4 |
624 |
259 |
0 |
0 |
T5 |
941 |
393 |
0 |
0 |
T6 |
23340 |
0 |
0 |
0 |
T7 |
0 |
603 |
0 |
0 |
T9 |
2556 |
0 |
0 |
0 |
T14 |
0 |
7594 |
0 |
0 |
T15 |
0 |
10370 |
0 |
0 |
T16 |
0 |
8071 |
0 |
0 |
T22 |
1032 |
0 |
0 |
0 |
T23 |
2164 |
0 |
0 |
0 |
T24 |
879 |
0 |
0 |
0 |
T25 |
1927 |
0 |
0 |
0 |
T26 |
1926 |
0 |
0 |
0 |
T30 |
0 |
398 |
0 |
0 |
T39 |
3478 |
0 |
0 |
0 |
T59 |
0 |
1099 |
0 |
0 |
T65 |
0 |
259 |
0 |
0 |
T69 |
0 |
637 |
0 |
0 |
u_state_regs_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11370796 |
11194731 |
0 |
0 |
T1 |
939 |
889 |
0 |
0 |
T2 |
1178 |
1122 |
0 |
0 |
T3 |
4104 |
4031 |
0 |
0 |
T4 |
624 |
450 |
0 |
0 |
T5 |
941 |
782 |
0 |
0 |
T9 |
2556 |
2475 |
0 |
0 |
T22 |
1032 |
957 |
0 |
0 |
T23 |
2164 |
2095 |
0 |
0 |
T24 |
879 |
800 |
0 |
0 |
T25 |
1927 |
1851 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[4].u_edn_ack_sm_ep
| Line No. | Total | Covered | Percent |
TOTAL | | 32 | 32 | 100.00 |
ALWAYS | 52 | 3 | 3 | 100.00 |
ALWAYS | 55 | 29 | 29 | 100.00 |
51
52 3/3 `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled)
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled):
52.1 `ifdef SIMULATION
52.2 prim_sparse_fsm_flop #(
52.3 .StateEnumT(state_e),
52.4 .Width($bits(state_e)),
52.5 .ResetValue($bits(state_e)'(Disabled)),
52.6 .EnableAlertTriggerSVA(1),
52.7 .CustomForceName("state_q")
52.8 ) u_state_regs (
52.9 .clk_i ( clk_i ),
52.10 .rst_ni ( rst_ni ),
52.11 .state_i ( state_d ),
52.12 .state_o ( )
52.13 );
52.14 always_ff @(posedge clk_i or negedge rst_ni) begin
52.15 1/1 if (!rst_ni) begin
Tests: T1 T2 T3
52.16 1/1 state_q <= Disabled;
Tests: T1 T2 T3
52.17 end else begin
52.18 1/1 state_q <= state_d;
Tests: T1 T2 T3
52.19 end
52.20 end
52.21 u_state_regs_A: assert property (@(posedge clk_i) disable iff ((!rst_ni) !== '0) (state_q === u_state_regs.state_o))
52.22 else begin
52.23 `ifdef UVM
52.24 uvm_pkg::uvm_report_error("ASSERT FAILED", "u_state_regs_A", uvm_pkg::UVM_NONE,
52.25 "../src/lowrisc_ip_edn_0.1/rtl/edn_ack_sm.sv", 52, "", 1);
52.26 `else
52.27 $error("%0t: (%0s:%0d) [%m] [ASSERT FAILED] %0s", $time, `__FILE__, `__LINE__,
52.28 `PRIM_STRINGIFY(u_state_regs_A));
52.29 `endif
52.30 end
52.31 `else
52.32 prim_sparse_fsm_flop #(
52.33 .StateEnumT(state_e),
52.34 .Width($bits(state_e)),
52.35 .ResetValue($bits(state_e)'(Disabled)),
52.36 .EnableAlertTriggerSVA(1)
52.37 ) u_state_regs (
52.38 .clk_i ( `PRIM_FLOP_CLK ),
52.39 .rst_ni ( `PRIM_FLOP_RST ),
52.40 .state_i ( state_d ),
52.41 .state_o ( state_q )
52.42 );
52.43 `endif53
54 always_comb begin
55 1/1 state_d = state_q;
Tests: T1 T2 T3
56 1/1 ack_o = 1'b0;
Tests: T1 T2 T3
57 1/1 fifo_clr_o = 1'b0;
Tests: T1 T2 T3
58 1/1 fifo_pop_o = 1'b0;
Tests: T1 T2 T3
59 1/1 ack_sm_err_o = 1'b0;
Tests: T1 T2 T3
60 1/1 unique case (state_q)
Tests: T1 T2 T3
61 Disabled: begin
62 1/1 if (enable_i) begin
Tests: T1 T2 T3
63 1/1 state_d = EndPointClear;
Tests: T1 T2 T3
64 1/1 fifo_clr_o = 1'b1;
Tests: T1 T2 T3
65 end
MISSING_ELSE
66 end
67 EndPointClear: begin
68 1/1 state_d = Idle;
Tests: T1 T2 T3
69 end
70 Idle: begin
71 1/1 if (req_i) begin
Tests: T1 T2 T3
72 1/1 if (fifo_not_empty_i) begin
Tests: T30 T40 T51
73 1/1 fifo_pop_o = 1'b1;
Tests: T30 T40 T51
74 end
MISSING_ELSE
75 1/1 state_d = DataWait;
Tests: T30 T40 T51
76 end
MISSING_ELSE
77 end
78 DataWait: begin
79 1/1 if (fifo_not_empty_i) begin
Tests: T30 T40 T51
80 1/1 state_d = AckPls;
Tests: T30 T40 T51
81 end
MISSING_ELSE
82 end
83 AckPls: begin
84 1/1 ack_o = 1'b1;
Tests: T30 T40 T51
85 1/1 state_d = Idle;
Tests: T30 T40 T51
86 end
87 Error: begin
88 1/1 ack_sm_err_o = 1'b1;
Tests: T4 T5 T30
89 end
90 default: begin
91 ack_sm_err_o = 1'b1;
92 state_d = Error;
93 end
94 endcase // unique case (state_q)
95
96 // If local escalation is seen, transition directly to
97 // error state.
98 1/1 if (local_escalate_i) begin
Tests: T1 T2 T3
99 1/1 state_d = Error;
Tests: T4 T5 T30
100 // Tie off outputs, except for ack_sm_err_o.
101 1/1 ack_o = 1'b0;
Tests: T4 T5 T30
102 1/1 fifo_clr_o = 1'b0;
Tests: T4 T5 T30
103 1/1 fifo_pop_o = 1'b0;
Tests: T4 T5 T30
104 1/1 end else if (!enable_i && state_q inside {EndPointClear, Idle, DataWait, AckPls}) begin
Tests: T1 T2 T3
105 // Only disable if state is legal and not Disabled or Error.
106 // Even when disabled, illegal states must result in a transition to Error.
107 1/1 state_d = Disabled;
Tests: T22 T5 T23
108 // Tie off all outputs, except for ack_sm_err_o.
109 1/1 ack_o = 1'b0;
Tests: T22 T5 T23
110 1/1 fifo_pop_o = 1'b0;
Tests: T22 T5 T23
111 1/1 fifo_clr_o = 1'b0;
Tests: T22 T5 T23
112 end
MISSING_ELSE
Cond Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[4].u_edn_ack_sm_ep
| Total | Covered | Percent |
Conditions | 3 | 3 | 100.00 |
Logical | 3 | 3 | 100.00 |
Non-Logical | 0 | 0 | |
Event | 0 | 0 | |
LINE 104
EXPRESSION (((!enable_i)) && (state_q inside {EndPointClear, Idle, DataWait, AckPls}))
------1------ ----------------------------2---------------------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T22,T5,T23 |
FSM Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[4].u_edn_ack_sm_ep
Summary for FSM :: state_q
| Total | Covered | Percent | |
States |
6 |
6 |
100.00 |
(Not included in score) |
Transitions |
14 |
12 |
85.71 |
|
Sequences |
0 |
0 |
|
|
State, Transition and Sequence Details for FSM :: state_q
states | Line No. | Covered | Tests |
AckPls |
80 |
Covered |
T30,T40,T51 |
DataWait |
75 |
Covered |
T30,T40,T51 |
Disabled |
107 |
Covered |
T1,T2,T3 |
EndPointClear |
63 |
Covered |
T1,T2,T3 |
Error |
99 |
Covered |
T4,T5,T30 |
Idle |
68 |
Covered |
T1,T2,T3 |
transitions | Line No. | Covered | Tests |
AckPls->Disabled |
107 |
Not Covered |
|
AckPls->Error |
99 |
Not Covered |
|
AckPls->Idle |
85 |
Covered |
T30,T40,T51 |
DataWait->AckPls |
80 |
Covered |
T30,T40,T51 |
DataWait->Disabled |
107 |
Covered |
T51,T214,T215 |
DataWait->Error |
99 |
Covered |
T61,T216,T127 |
Disabled->EndPointClear |
63 |
Covered |
T1,T2,T3 |
Disabled->Error |
99 |
Covered |
T14,T15,T16 |
EndPointClear->Disabled |
107 |
Covered |
T6,T17,T107 |
EndPointClear->Error |
99 |
Covered |
T14,T15,T16 |
EndPointClear->Idle |
68 |
Covered |
T1,T2,T3 |
Idle->DataWait |
75 |
Covered |
T30,T40,T51 |
Idle->Disabled |
107 |
Covered |
T22,T5,T23 |
Idle->Error |
99 |
Covered |
T4,T5,T30 |
Branch Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[4].u_edn_ack_sm_ep
| Line No. | Total | Covered | Percent |
Branches |
|
16 |
16 |
100.00 |
IF |
52 |
2 |
2 |
100.00 |
CASE |
60 |
11 |
11 |
100.00 |
IF |
98 |
3 |
3 |
100.00 |
52 `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled)
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
60 unique case (state_q)
-1-
61 Disabled: begin
62 if (enable_i) begin
-2-
63 state_d = EndPointClear;
==>
64 fifo_clr_o = 1'b1;
65 end
MISSING_ELSE
==>
66 end
67 EndPointClear: begin
68 state_d = Idle;
==>
69 end
70 Idle: begin
71 if (req_i) begin
-3-
72 if (fifo_not_empty_i) begin
-4-
73 fifo_pop_o = 1'b1;
==>
74 end
MISSING_ELSE
==>
75 state_d = DataWait;
76 end
MISSING_ELSE
==>
77 end
78 DataWait: begin
79 if (fifo_not_empty_i) begin
-5-
80 state_d = AckPls;
==>
81 end
MISSING_ELSE
==>
82 end
83 AckPls: begin
84 ack_o = 1'b1;
==>
85 state_d = Idle;
86 end
87 Error: begin
88 ack_sm_err_o = 1'b1;
==>
89 end
90 default: begin
91 ack_sm_err_o = 1'b1;
==>
Branches:
-1- | -2- | -3- | -4- | -5- | Status | Tests |
Disabled |
1 |
- |
- |
- |
Covered |
T1,T2,T3 |
Disabled |
0 |
- |
- |
- |
Covered |
T1,T2,T3 |
EndPointClear |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
Idle |
- |
1 |
1 |
- |
Covered |
T30,T40,T51 |
Idle |
- |
1 |
0 |
- |
Covered |
T30,T40,T51 |
Idle |
- |
0 |
- |
- |
Covered |
T1,T2,T3 |
DataWait |
- |
- |
- |
1 |
Covered |
T30,T40,T51 |
DataWait |
- |
- |
- |
0 |
Covered |
T40,T51,T46 |
AckPls |
- |
- |
- |
- |
Covered |
T30,T40,T51 |
Error |
- |
- |
- |
- |
Covered |
T4,T5,T30 |
default |
- |
- |
- |
- |
Covered |
T14,T15,T16 |
98 if (local_escalate_i) begin
-1-
99 state_d = Error;
==>
100 // Tie off outputs, except for ack_sm_err_o.
101 ack_o = 1'b0;
102 fifo_clr_o = 1'b0;
103 fifo_pop_o = 1'b0;
104 end else if (!enable_i && state_q inside {EndPointClear, Idle, DataWait, AckPls}) begin
-2-
105 // Only disable if state is legal and not Disabled or Error.
106 // Even when disabled, illegal states must result in a transition to Error.
107 state_d = Disabled;
==>
108 // Tie off all outputs, except for ack_sm_err_o.
109 ack_o = 1'b0;
110 fifo_pop_o = 1'b0;
111 fifo_clr_o = 1'b0;
112 end
MISSING_ELSE
==>
Branches:
-1- | -2- | Status | Tests |
1 |
- |
Covered |
T4,T5,T30 |
0 |
1 |
Covered |
T22,T5,T23 |
0 |
0 |
Covered |
T1,T2,T3 |
Assert Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[4].u_edn_ack_sm_ep
Assertion Details
AckSmErrorStStable_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11370796 |
150102 |
0 |
0 |
T4 |
624 |
258 |
0 |
0 |
T5 |
941 |
392 |
0 |
0 |
T6 |
23340 |
0 |
0 |
0 |
T7 |
0 |
602 |
0 |
0 |
T9 |
2556 |
0 |
0 |
0 |
T14 |
0 |
7464 |
0 |
0 |
T15 |
0 |
10240 |
0 |
0 |
T16 |
0 |
7941 |
0 |
0 |
T22 |
1032 |
0 |
0 |
0 |
T23 |
2164 |
0 |
0 |
0 |
T24 |
879 |
0 |
0 |
0 |
T25 |
1927 |
0 |
0 |
0 |
T26 |
1926 |
0 |
0 |
0 |
T30 |
0 |
397 |
0 |
0 |
T39 |
3478 |
0 |
0 |
0 |
T59 |
0 |
1098 |
0 |
0 |
T65 |
0 |
258 |
0 |
0 |
T69 |
0 |
636 |
0 |
0 |
FpvSecCmErrorStEscalate_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11370796 |
151146 |
0 |
0 |
T4 |
624 |
259 |
0 |
0 |
T5 |
941 |
393 |
0 |
0 |
T6 |
23340 |
0 |
0 |
0 |
T7 |
0 |
603 |
0 |
0 |
T9 |
2556 |
0 |
0 |
0 |
T14 |
0 |
7594 |
0 |
0 |
T15 |
0 |
10370 |
0 |
0 |
T16 |
0 |
8071 |
0 |
0 |
T22 |
1032 |
0 |
0 |
0 |
T23 |
2164 |
0 |
0 |
0 |
T24 |
879 |
0 |
0 |
0 |
T25 |
1927 |
0 |
0 |
0 |
T26 |
1926 |
0 |
0 |
0 |
T30 |
0 |
398 |
0 |
0 |
T39 |
3478 |
0 |
0 |
0 |
T59 |
0 |
1099 |
0 |
0 |
T65 |
0 |
259 |
0 |
0 |
T69 |
0 |
637 |
0 |
0 |
u_state_regs_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11370796 |
11194731 |
0 |
0 |
T1 |
939 |
889 |
0 |
0 |
T2 |
1178 |
1122 |
0 |
0 |
T3 |
4104 |
4031 |
0 |
0 |
T4 |
624 |
450 |
0 |
0 |
T5 |
941 |
782 |
0 |
0 |
T9 |
2556 |
2475 |
0 |
0 |
T22 |
1032 |
957 |
0 |
0 |
T23 |
2164 |
2095 |
0 |
0 |
T24 |
879 |
800 |
0 |
0 |
T25 |
1927 |
1851 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[6].u_edn_ack_sm_ep
| Line No. | Total | Covered | Percent |
TOTAL | | 32 | 32 | 100.00 |
ALWAYS | 52 | 3 | 3 | 100.00 |
ALWAYS | 55 | 29 | 29 | 100.00 |
51
52 3/3 `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled)
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled):
52.1 `ifdef SIMULATION
52.2 prim_sparse_fsm_flop #(
52.3 .StateEnumT(state_e),
52.4 .Width($bits(state_e)),
52.5 .ResetValue($bits(state_e)'(Disabled)),
52.6 .EnableAlertTriggerSVA(1),
52.7 .CustomForceName("state_q")
52.8 ) u_state_regs (
52.9 .clk_i ( clk_i ),
52.10 .rst_ni ( rst_ni ),
52.11 .state_i ( state_d ),
52.12 .state_o ( )
52.13 );
52.14 always_ff @(posedge clk_i or negedge rst_ni) begin
52.15 1/1 if (!rst_ni) begin
Tests: T1 T2 T3
52.16 1/1 state_q <= Disabled;
Tests: T1 T2 T3
52.17 end else begin
52.18 1/1 state_q <= state_d;
Tests: T1 T2 T3
52.19 end
52.20 end
52.21 u_state_regs_A: assert property (@(posedge clk_i) disable iff ((!rst_ni) !== '0) (state_q === u_state_regs.state_o))
52.22 else begin
52.23 `ifdef UVM
52.24 uvm_pkg::uvm_report_error("ASSERT FAILED", "u_state_regs_A", uvm_pkg::UVM_NONE,
52.25 "../src/lowrisc_ip_edn_0.1/rtl/edn_ack_sm.sv", 52, "", 1);
52.26 `else
52.27 $error("%0t: (%0s:%0d) [%m] [ASSERT FAILED] %0s", $time, `__FILE__, `__LINE__,
52.28 `PRIM_STRINGIFY(u_state_regs_A));
52.29 `endif
52.30 end
52.31 `else
52.32 prim_sparse_fsm_flop #(
52.33 .StateEnumT(state_e),
52.34 .Width($bits(state_e)),
52.35 .ResetValue($bits(state_e)'(Disabled)),
52.36 .EnableAlertTriggerSVA(1)
52.37 ) u_state_regs (
52.38 .clk_i ( `PRIM_FLOP_CLK ),
52.39 .rst_ni ( `PRIM_FLOP_RST ),
52.40 .state_i ( state_d ),
52.41 .state_o ( state_q )
52.42 );
52.43 `endif53
54 always_comb begin
55 1/1 state_d = state_q;
Tests: T1 T2 T3
56 1/1 ack_o = 1'b0;
Tests: T1 T2 T3
57 1/1 fifo_clr_o = 1'b0;
Tests: T1 T2 T3
58 1/1 fifo_pop_o = 1'b0;
Tests: T1 T2 T3
59 1/1 ack_sm_err_o = 1'b0;
Tests: T1 T2 T3
60 1/1 unique case (state_q)
Tests: T1 T2 T3
61 Disabled: begin
62 1/1 if (enable_i) begin
Tests: T1 T2 T3
63 1/1 state_d = EndPointClear;
Tests: T1 T2 T3
64 1/1 fifo_clr_o = 1'b1;
Tests: T1 T2 T3
65 end
MISSING_ELSE
66 end
67 EndPointClear: begin
68 1/1 state_d = Idle;
Tests: T1 T2 T3
69 end
70 Idle: begin
71 1/1 if (req_i) begin
Tests: T1 T2 T3
72 1/1 if (fifo_not_empty_i) begin
Tests: T39 T10 T40
73 1/1 fifo_pop_o = 1'b1;
Tests: T39 T10 T40
74 end
MISSING_ELSE
75 1/1 state_d = DataWait;
Tests: T39 T10 T40
76 end
MISSING_ELSE
77 end
78 DataWait: begin
79 1/1 if (fifo_not_empty_i) begin
Tests: T39 T10 T40
80 1/1 state_d = AckPls;
Tests: T39 T10 T40
81 end
MISSING_ELSE
82 end
83 AckPls: begin
84 1/1 ack_o = 1'b1;
Tests: T39 T10 T40
85 1/1 state_d = Idle;
Tests: T39 T10 T40
86 end
87 Error: begin
88 1/1 ack_sm_err_o = 1'b1;
Tests: T4 T5 T30
89 end
90 default: begin
91 ack_sm_err_o = 1'b1;
92 state_d = Error;
93 end
94 endcase // unique case (state_q)
95
96 // If local escalation is seen, transition directly to
97 // error state.
98 1/1 if (local_escalate_i) begin
Tests: T1 T2 T3
99 1/1 state_d = Error;
Tests: T4 T5 T30
100 // Tie off outputs, except for ack_sm_err_o.
101 1/1 ack_o = 1'b0;
Tests: T4 T5 T30
102 1/1 fifo_clr_o = 1'b0;
Tests: T4 T5 T30
103 1/1 fifo_pop_o = 1'b0;
Tests: T4 T5 T30
104 1/1 end else if (!enable_i && state_q inside {EndPointClear, Idle, DataWait, AckPls}) begin
Tests: T1 T2 T3
105 // Only disable if state is legal and not Disabled or Error.
106 // Even when disabled, illegal states must result in a transition to Error.
107 1/1 state_d = Disabled;
Tests: T22 T5 T23
108 // Tie off all outputs, except for ack_sm_err_o.
109 1/1 ack_o = 1'b0;
Tests: T22 T5 T23
110 1/1 fifo_pop_o = 1'b0;
Tests: T22 T5 T23
111 1/1 fifo_clr_o = 1'b0;
Tests: T22 T5 T23
112 end
MISSING_ELSE
Cond Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[6].u_edn_ack_sm_ep
| Total | Covered | Percent |
Conditions | 3 | 3 | 100.00 |
Logical | 3 | 3 | 100.00 |
Non-Logical | 0 | 0 | |
Event | 0 | 0 | |
LINE 104
EXPRESSION (((!enable_i)) && (state_q inside {EndPointClear, Idle, DataWait, AckPls}))
------1------ ----------------------------2---------------------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T22,T5,T23 |
FSM Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[6].u_edn_ack_sm_ep
Summary for FSM :: state_q
| Total | Covered | Percent | |
States |
6 |
6 |
100.00 |
(Not included in score) |
Transitions |
14 |
12 |
85.71 |
|
Sequences |
0 |
0 |
|
|
State, Transition and Sequence Details for FSM :: state_q
states | Line No. | Covered | Tests |
AckPls |
80 |
Covered |
T39,T10,T40 |
DataWait |
75 |
Covered |
T39,T10,T40 |
Disabled |
107 |
Covered |
T1,T2,T3 |
EndPointClear |
63 |
Covered |
T1,T2,T3 |
Error |
99 |
Covered |
T4,T5,T30 |
Idle |
68 |
Covered |
T1,T2,T3 |
transitions | Line No. | Covered | Tests |
AckPls->Disabled |
107 |
Not Covered |
|
AckPls->Error |
99 |
Not Covered |
|
AckPls->Idle |
85 |
Covered |
T39,T10,T40 |
DataWait->AckPls |
80 |
Covered |
T39,T10,T40 |
DataWait->Disabled |
107 |
Covered |
T94,T217,T218 |
DataWait->Error |
99 |
Covered |
T174,T219 |
Disabled->EndPointClear |
63 |
Covered |
T1,T2,T3 |
Disabled->Error |
99 |
Covered |
T14,T15,T16 |
EndPointClear->Disabled |
107 |
Covered |
T6,T17,T107 |
EndPointClear->Error |
99 |
Covered |
T14,T15,T16 |
EndPointClear->Idle |
68 |
Covered |
T1,T2,T3 |
Idle->DataWait |
75 |
Covered |
T39,T10,T40 |
Idle->Disabled |
107 |
Covered |
T22,T5,T23 |
Idle->Error |
99 |
Covered |
T4,T5,T30 |
Branch Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[6].u_edn_ack_sm_ep
| Line No. | Total | Covered | Percent |
Branches |
|
16 |
16 |
100.00 |
IF |
52 |
2 |
2 |
100.00 |
CASE |
60 |
11 |
11 |
100.00 |
IF |
98 |
3 |
3 |
100.00 |
52 `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled)
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
60 unique case (state_q)
-1-
61 Disabled: begin
62 if (enable_i) begin
-2-
63 state_d = EndPointClear;
==>
64 fifo_clr_o = 1'b1;
65 end
MISSING_ELSE
==>
66 end
67 EndPointClear: begin
68 state_d = Idle;
==>
69 end
70 Idle: begin
71 if (req_i) begin
-3-
72 if (fifo_not_empty_i) begin
-4-
73 fifo_pop_o = 1'b1;
==>
74 end
MISSING_ELSE
==>
75 state_d = DataWait;
76 end
MISSING_ELSE
==>
77 end
78 DataWait: begin
79 if (fifo_not_empty_i) begin
-5-
80 state_d = AckPls;
==>
81 end
MISSING_ELSE
==>
82 end
83 AckPls: begin
84 ack_o = 1'b1;
==>
85 state_d = Idle;
86 end
87 Error: begin
88 ack_sm_err_o = 1'b1;
==>
89 end
90 default: begin
91 ack_sm_err_o = 1'b1;
==>
Branches:
-1- | -2- | -3- | -4- | -5- | Status | Tests |
Disabled |
1 |
- |
- |
- |
Covered |
T1,T2,T3 |
Disabled |
0 |
- |
- |
- |
Covered |
T1,T2,T3 |
EndPointClear |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
Idle |
- |
1 |
1 |
- |
Covered |
T39,T10,T40 |
Idle |
- |
1 |
0 |
- |
Covered |
T39,T10,T40 |
Idle |
- |
0 |
- |
- |
Covered |
T1,T2,T3 |
DataWait |
- |
- |
- |
1 |
Covered |
T39,T10,T40 |
DataWait |
- |
- |
- |
0 |
Covered |
T39,T10,T40 |
AckPls |
- |
- |
- |
- |
Covered |
T39,T10,T40 |
Error |
- |
- |
- |
- |
Covered |
T4,T5,T30 |
default |
- |
- |
- |
- |
Covered |
T14,T15,T16 |
98 if (local_escalate_i) begin
-1-
99 state_d = Error;
==>
100 // Tie off outputs, except for ack_sm_err_o.
101 ack_o = 1'b0;
102 fifo_clr_o = 1'b0;
103 fifo_pop_o = 1'b0;
104 end else if (!enable_i && state_q inside {EndPointClear, Idle, DataWait, AckPls}) begin
-2-
105 // Only disable if state is legal and not Disabled or Error.
106 // Even when disabled, illegal states must result in a transition to Error.
107 state_d = Disabled;
==>
108 // Tie off all outputs, except for ack_sm_err_o.
109 ack_o = 1'b0;
110 fifo_pop_o = 1'b0;
111 fifo_clr_o = 1'b0;
112 end
MISSING_ELSE
==>
Branches:
-1- | -2- | Status | Tests |
1 |
- |
Covered |
T4,T5,T30 |
0 |
1 |
Covered |
T22,T5,T23 |
0 |
0 |
Covered |
T1,T2,T3 |
Assert Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[6].u_edn_ack_sm_ep
Assertion Details
AckSmErrorStStable_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11370796 |
150102 |
0 |
0 |
T4 |
624 |
258 |
0 |
0 |
T5 |
941 |
392 |
0 |
0 |
T6 |
23340 |
0 |
0 |
0 |
T7 |
0 |
602 |
0 |
0 |
T9 |
2556 |
0 |
0 |
0 |
T14 |
0 |
7464 |
0 |
0 |
T15 |
0 |
10240 |
0 |
0 |
T16 |
0 |
7941 |
0 |
0 |
T22 |
1032 |
0 |
0 |
0 |
T23 |
2164 |
0 |
0 |
0 |
T24 |
879 |
0 |
0 |
0 |
T25 |
1927 |
0 |
0 |
0 |
T26 |
1926 |
0 |
0 |
0 |
T30 |
0 |
397 |
0 |
0 |
T39 |
3478 |
0 |
0 |
0 |
T59 |
0 |
1098 |
0 |
0 |
T65 |
0 |
258 |
0 |
0 |
T69 |
0 |
636 |
0 |
0 |
FpvSecCmErrorStEscalate_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11370796 |
151146 |
0 |
0 |
T4 |
624 |
259 |
0 |
0 |
T5 |
941 |
393 |
0 |
0 |
T6 |
23340 |
0 |
0 |
0 |
T7 |
0 |
603 |
0 |
0 |
T9 |
2556 |
0 |
0 |
0 |
T14 |
0 |
7594 |
0 |
0 |
T15 |
0 |
10370 |
0 |
0 |
T16 |
0 |
8071 |
0 |
0 |
T22 |
1032 |
0 |
0 |
0 |
T23 |
2164 |
0 |
0 |
0 |
T24 |
879 |
0 |
0 |
0 |
T25 |
1927 |
0 |
0 |
0 |
T26 |
1926 |
0 |
0 |
0 |
T30 |
0 |
398 |
0 |
0 |
T39 |
3478 |
0 |
0 |
0 |
T59 |
0 |
1099 |
0 |
0 |
T65 |
0 |
259 |
0 |
0 |
T69 |
0 |
637 |
0 |
0 |
u_state_regs_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11370796 |
11194731 |
0 |
0 |
T1 |
939 |
889 |
0 |
0 |
T2 |
1178 |
1122 |
0 |
0 |
T3 |
4104 |
4031 |
0 |
0 |
T4 |
624 |
450 |
0 |
0 |
T5 |
941 |
782 |
0 |
0 |
T9 |
2556 |
2475 |
0 |
0 |
T22 |
1032 |
957 |
0 |
0 |
T23 |
2164 |
2095 |
0 |
0 |
T24 |
879 |
800 |
0 |
0 |
T25 |
1927 |
1851 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[5].u_edn_ack_sm_ep
| Line No. | Total | Covered | Percent |
TOTAL | | 32 | 32 | 100.00 |
ALWAYS | 52 | 3 | 3 | 100.00 |
ALWAYS | 55 | 29 | 29 | 100.00 |
51
52 3/3 `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled)
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled):
52.1 `ifdef SIMULATION
52.2 prim_sparse_fsm_flop #(
52.3 .StateEnumT(state_e),
52.4 .Width($bits(state_e)),
52.5 .ResetValue($bits(state_e)'(Disabled)),
52.6 .EnableAlertTriggerSVA(1),
52.7 .CustomForceName("state_q")
52.8 ) u_state_regs (
52.9 .clk_i ( clk_i ),
52.10 .rst_ni ( rst_ni ),
52.11 .state_i ( state_d ),
52.12 .state_o ( )
52.13 );
52.14 always_ff @(posedge clk_i or negedge rst_ni) begin
52.15 1/1 if (!rst_ni) begin
Tests: T1 T2 T3
52.16 1/1 state_q <= Disabled;
Tests: T1 T2 T3
52.17 end else begin
52.18 1/1 state_q <= state_d;
Tests: T1 T2 T3
52.19 end
52.20 end
52.21 u_state_regs_A: assert property (@(posedge clk_i) disable iff ((!rst_ni) !== '0) (state_q === u_state_regs.state_o))
52.22 else begin
52.23 `ifdef UVM
52.24 uvm_pkg::uvm_report_error("ASSERT FAILED", "u_state_regs_A", uvm_pkg::UVM_NONE,
52.25 "../src/lowrisc_ip_edn_0.1/rtl/edn_ack_sm.sv", 52, "", 1);
52.26 `else
52.27 $error("%0t: (%0s:%0d) [%m] [ASSERT FAILED] %0s", $time, `__FILE__, `__LINE__,
52.28 `PRIM_STRINGIFY(u_state_regs_A));
52.29 `endif
52.30 end
52.31 `else
52.32 prim_sparse_fsm_flop #(
52.33 .StateEnumT(state_e),
52.34 .Width($bits(state_e)),
52.35 .ResetValue($bits(state_e)'(Disabled)),
52.36 .EnableAlertTriggerSVA(1)
52.37 ) u_state_regs (
52.38 .clk_i ( `PRIM_FLOP_CLK ),
52.39 .rst_ni ( `PRIM_FLOP_RST ),
52.40 .state_i ( state_d ),
52.41 .state_o ( state_q )
52.42 );
52.43 `endif53
54 always_comb begin
55 1/1 state_d = state_q;
Tests: T1 T2 T3
56 1/1 ack_o = 1'b0;
Tests: T1 T2 T3
57 1/1 fifo_clr_o = 1'b0;
Tests: T1 T2 T3
58 1/1 fifo_pop_o = 1'b0;
Tests: T1 T2 T3
59 1/1 ack_sm_err_o = 1'b0;
Tests: T1 T2 T3
60 1/1 unique case (state_q)
Tests: T1 T2 T3
61 Disabled: begin
62 1/1 if (enable_i) begin
Tests: T1 T2 T3
63 1/1 state_d = EndPointClear;
Tests: T1 T2 T3
64 1/1 fifo_clr_o = 1'b1;
Tests: T1 T2 T3
65 end
MISSING_ELSE
66 end
67 EndPointClear: begin
68 1/1 state_d = Idle;
Tests: T1 T2 T3
69 end
70 Idle: begin
71 1/1 if (req_i) begin
Tests: T1 T2 T3
72 1/1 if (fifo_not_empty_i) begin
Tests: T22 T40 T54
73 1/1 fifo_pop_o = 1'b1;
Tests: T22 T40 T54
74 end
MISSING_ELSE
75 1/1 state_d = DataWait;
Tests: T22 T40 T54
76 end
MISSING_ELSE
77 end
78 DataWait: begin
79 1/1 if (fifo_not_empty_i) begin
Tests: T22 T40 T54
80 1/1 state_d = AckPls;
Tests: T22 T40 T54
81 end
MISSING_ELSE
82 end
83 AckPls: begin
84 1/1 ack_o = 1'b1;
Tests: T22 T40 T54
85 1/1 state_d = Idle;
Tests: T22 T40 T54
86 end
87 Error: begin
88 1/1 ack_sm_err_o = 1'b1;
Tests: T4 T5 T30
89 end
90 default: begin
91 ack_sm_err_o = 1'b1;
92 state_d = Error;
93 end
94 endcase // unique case (state_q)
95
96 // If local escalation is seen, transition directly to
97 // error state.
98 1/1 if (local_escalate_i) begin
Tests: T1 T2 T3
99 1/1 state_d = Error;
Tests: T4 T5 T30
100 // Tie off outputs, except for ack_sm_err_o.
101 1/1 ack_o = 1'b0;
Tests: T4 T5 T30
102 1/1 fifo_clr_o = 1'b0;
Tests: T4 T5 T30
103 1/1 fifo_pop_o = 1'b0;
Tests: T4 T5 T30
104 1/1 end else if (!enable_i && state_q inside {EndPointClear, Idle, DataWait, AckPls}) begin
Tests: T1 T2 T3
105 // Only disable if state is legal and not Disabled or Error.
106 // Even when disabled, illegal states must result in a transition to Error.
107 1/1 state_d = Disabled;
Tests: T22 T5 T23
108 // Tie off all outputs, except for ack_sm_err_o.
109 1/1 ack_o = 1'b0;
Tests: T22 T5 T23
110 1/1 fifo_pop_o = 1'b0;
Tests: T22 T5 T23
111 1/1 fifo_clr_o = 1'b0;
Tests: T22 T5 T23
112 end
MISSING_ELSE
Cond Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[5].u_edn_ack_sm_ep
| Total | Covered | Percent |
Conditions | 3 | 3 | 100.00 |
Logical | 3 | 3 | 100.00 |
Non-Logical | 0 | 0 | |
Event | 0 | 0 | |
LINE 104
EXPRESSION (((!enable_i)) && (state_q inside {EndPointClear, Idle, DataWait, AckPls}))
------1------ ----------------------------2---------------------------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T1,T2,T3 |
1 | 1 | Covered | T22,T5,T23 |
FSM Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[5].u_edn_ack_sm_ep
Summary for FSM :: state_q
| Total | Covered | Percent | |
States |
6 |
6 |
100.00 |
(Not included in score) |
Transitions |
14 |
13 |
92.86 |
|
Sequences |
0 |
0 |
|
|
State, Transition and Sequence Details for FSM :: state_q
states | Line No. | Covered | Tests |
AckPls |
80 |
Covered |
T22,T40,T54 |
DataWait |
75 |
Covered |
T22,T40,T54 |
Disabled |
107 |
Covered |
T1,T2,T3 |
EndPointClear |
63 |
Covered |
T1,T2,T3 |
Error |
99 |
Covered |
T4,T5,T30 |
Idle |
68 |
Covered |
T1,T2,T3 |
transitions | Line No. | Covered | Tests |
AckPls->Disabled |
107 |
Covered |
T195 |
AckPls->Error |
99 |
Not Covered |
|
AckPls->Idle |
85 |
Covered |
T22,T40,T54 |
DataWait->AckPls |
80 |
Covered |
T22,T40,T54 |
DataWait->Disabled |
107 |
Covered |
T22,T87,T20 |
DataWait->Error |
99 |
Covered |
T124,T220 |
Disabled->EndPointClear |
63 |
Covered |
T1,T2,T3 |
Disabled->Error |
99 |
Covered |
T14,T15,T16 |
EndPointClear->Disabled |
107 |
Covered |
T6,T17,T107 |
EndPointClear->Error |
99 |
Covered |
T14,T15,T16 |
EndPointClear->Idle |
68 |
Covered |
T1,T2,T3 |
Idle->DataWait |
75 |
Covered |
T22,T40,T54 |
Idle->Disabled |
107 |
Covered |
T5,T23,T9 |
Idle->Error |
99 |
Covered |
T4,T5,T30 |
Branch Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[5].u_edn_ack_sm_ep
| Line No. | Total | Covered | Percent |
Branches |
|
16 |
16 |
100.00 |
IF |
52 |
2 |
2 |
100.00 |
CASE |
60 |
11 |
11 |
100.00 |
IF |
98 |
3 |
3 |
100.00 |
52 `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, Disabled)
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
60 unique case (state_q)
-1-
61 Disabled: begin
62 if (enable_i) begin
-2-
63 state_d = EndPointClear;
==>
64 fifo_clr_o = 1'b1;
65 end
MISSING_ELSE
==>
66 end
67 EndPointClear: begin
68 state_d = Idle;
==>
69 end
70 Idle: begin
71 if (req_i) begin
-3-
72 if (fifo_not_empty_i) begin
-4-
73 fifo_pop_o = 1'b1;
==>
74 end
MISSING_ELSE
==>
75 state_d = DataWait;
76 end
MISSING_ELSE
==>
77 end
78 DataWait: begin
79 if (fifo_not_empty_i) begin
-5-
80 state_d = AckPls;
==>
81 end
MISSING_ELSE
==>
82 end
83 AckPls: begin
84 ack_o = 1'b1;
==>
85 state_d = Idle;
86 end
87 Error: begin
88 ack_sm_err_o = 1'b1;
==>
89 end
90 default: begin
91 ack_sm_err_o = 1'b1;
==>
Branches:
-1- | -2- | -3- | -4- | -5- | Status | Tests |
Disabled |
1 |
- |
- |
- |
Covered |
T1,T2,T3 |
Disabled |
0 |
- |
- |
- |
Covered |
T1,T2,T3 |
EndPointClear |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
Idle |
- |
1 |
1 |
- |
Covered |
T22,T40,T54 |
Idle |
- |
1 |
0 |
- |
Covered |
T22,T40,T54 |
Idle |
- |
0 |
- |
- |
Covered |
T1,T2,T3 |
DataWait |
- |
- |
- |
1 |
Covered |
T22,T40,T54 |
DataWait |
- |
- |
- |
0 |
Covered |
T22,T40,T54 |
AckPls |
- |
- |
- |
- |
Covered |
T22,T40,T54 |
Error |
- |
- |
- |
- |
Covered |
T4,T5,T30 |
default |
- |
- |
- |
- |
Covered |
T14,T15,T16 |
98 if (local_escalate_i) begin
-1-
99 state_d = Error;
==>
100 // Tie off outputs, except for ack_sm_err_o.
101 ack_o = 1'b0;
102 fifo_clr_o = 1'b0;
103 fifo_pop_o = 1'b0;
104 end else if (!enable_i && state_q inside {EndPointClear, Idle, DataWait, AckPls}) begin
-2-
105 // Only disable if state is legal and not Disabled or Error.
106 // Even when disabled, illegal states must result in a transition to Error.
107 state_d = Disabled;
==>
108 // Tie off all outputs, except for ack_sm_err_o.
109 ack_o = 1'b0;
110 fifo_pop_o = 1'b0;
111 fifo_clr_o = 1'b0;
112 end
MISSING_ELSE
==>
Branches:
-1- | -2- | Status | Tests |
1 |
- |
Covered |
T4,T5,T30 |
0 |
1 |
Covered |
T22,T5,T23 |
0 |
0 |
Covered |
T1,T2,T3 |
Assert Coverage for Instance : tb.dut.u_edn_core.gen_ep_blk[5].u_edn_ack_sm_ep
Assertion Details
AckSmErrorStStable_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11370796 |
150102 |
0 |
0 |
T4 |
624 |
258 |
0 |
0 |
T5 |
941 |
392 |
0 |
0 |
T6 |
23340 |
0 |
0 |
0 |
T7 |
0 |
602 |
0 |
0 |
T9 |
2556 |
0 |
0 |
0 |
T14 |
0 |
7464 |
0 |
0 |
T15 |
0 |
10240 |
0 |
0 |
T16 |
0 |
7941 |
0 |
0 |
T22 |
1032 |
0 |
0 |
0 |
T23 |
2164 |
0 |
0 |
0 |
T24 |
879 |
0 |
0 |
0 |
T25 |
1927 |
0 |
0 |
0 |
T26 |
1926 |
0 |
0 |
0 |
T30 |
0 |
397 |
0 |
0 |
T39 |
3478 |
0 |
0 |
0 |
T59 |
0 |
1098 |
0 |
0 |
T65 |
0 |
258 |
0 |
0 |
T69 |
0 |
636 |
0 |
0 |
FpvSecCmErrorStEscalate_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11370796 |
151146 |
0 |
0 |
T4 |
624 |
259 |
0 |
0 |
T5 |
941 |
393 |
0 |
0 |
T6 |
23340 |
0 |
0 |
0 |
T7 |
0 |
603 |
0 |
0 |
T9 |
2556 |
0 |
0 |
0 |
T14 |
0 |
7594 |
0 |
0 |
T15 |
0 |
10370 |
0 |
0 |
T16 |
0 |
8071 |
0 |
0 |
T22 |
1032 |
0 |
0 |
0 |
T23 |
2164 |
0 |
0 |
0 |
T24 |
879 |
0 |
0 |
0 |
T25 |
1927 |
0 |
0 |
0 |
T26 |
1926 |
0 |
0 |
0 |
T30 |
0 |
398 |
0 |
0 |
T39 |
3478 |
0 |
0 |
0 |
T59 |
0 |
1099 |
0 |
0 |
T65 |
0 |
259 |
0 |
0 |
T69 |
0 |
637 |
0 |
0 |
u_state_regs_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
11370796 |
11194731 |
0 |
0 |
T1 |
939 |
889 |
0 |
0 |
T2 |
1178 |
1122 |
0 |
0 |
T3 |
4104 |
4031 |
0 |
0 |
T4 |
624 |
450 |
0 |
0 |
T5 |
941 |
782 |
0 |
0 |
T9 |
2556 |
2475 |
0 |
0 |
T22 |
1032 |
957 |
0 |
0 |
T23 |
2164 |
2095 |
0 |
0 |
T24 |
879 |
800 |
0 |
0 |
T25 |
1927 |
1851 |
0 |
0 |