Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : gpio_reg_top
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.75 100.00 99.01 100.00 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_gpio_0.1/rtl/gpio_reg_top.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_reg 99.75 100.00 99.01 100.00 100.00



Module Instance : tb.dut.u_reg

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.75 100.00 99.01 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.03 97.69 98.53 100.00 98.95 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_alert_test 100.00 100.00
u_chk 100.00 100.00 100.00 100.00
u_ctrl_en_input_filter 100.00 100.00 100.00 100.00
u_data_in 67.59 77.78 50.00 75.00
u_direct_oe 100.00 100.00
u_direct_out 100.00 100.00
u_intr_ctrl_en_falling 100.00 100.00 100.00 100.00
u_intr_ctrl_en_lvlhigh 100.00 100.00 100.00 100.00
u_intr_ctrl_en_lvllow 100.00 100.00 100.00 100.00
u_intr_ctrl_en_rising 100.00 100.00 100.00 100.00
u_intr_enable 100.00 100.00 100.00 100.00
u_intr_state 100.00 100.00 100.00 100.00
u_intr_test 100.00 100.00
u_masked_oe_lower_data 100.00 100.00
u_masked_oe_lower_mask 75.00 75.00
u_masked_oe_upper_data 100.00 100.00
u_masked_oe_upper_mask 75.00 75.00
u_masked_out_lower_data 100.00 100.00
u_masked_out_lower_mask 66.67 66.67
u_masked_out_upper_data 100.00 100.00
u_masked_out_upper_mask 66.67 66.67
u_prim_reg_we_check 100.00 100.00 100.00
u_reg_if 98.67 97.14 97.53 100.00 100.00
u_rsp_intg_gen 100.00 100.00 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : gpio_reg_top
Line No.TotalCoveredPercent
TOTAL129129100.00
ALWAYS6844100.00
CONT_ASSIGN7711100.00
CONT_ASSIGN8911100.00
CONT_ASSIGN9011100.00
CONT_ASSIGN11811100.00
CONT_ASSIGN11911100.00
CONT_ASSIGN24111100.00
CONT_ASSIGN25511100.00
CONT_ASSIGN26111100.00
CONT_ASSIGN27511100.00
CONT_ASSIGN30911100.00
CONT_ASSIGN32311100.00
CONT_ASSIGN32911100.00
CONT_ASSIGN34411100.00
CONT_ASSIGN36011100.00
CONT_ASSIGN36611100.00
CONT_ASSIGN38111100.00
CONT_ASSIGN39711100.00
CONT_ASSIGN40311100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN42311100.00
CONT_ASSIGN43811100.00
CONT_ASSIGN45411100.00
CONT_ASSIGN46011100.00
CONT_ASSIGN47511100.00
CONT_ASSIGN49111100.00
ALWAYS6371717100.00
CONT_ASSIGN65611100.00
ALWAYS66011100.00
CONT_ASSIGN68011100.00
CONT_ASSIGN68211100.00
CONT_ASSIGN68311100.00
CONT_ASSIGN68511100.00
CONT_ASSIGN68611100.00
CONT_ASSIGN68811100.00
CONT_ASSIGN68911100.00
CONT_ASSIGN69111100.00
CONT_ASSIGN69211100.00
CONT_ASSIGN69311100.00
CONT_ASSIGN69511100.00
CONT_ASSIGN69611100.00
CONT_ASSIGN69711100.00
CONT_ASSIGN69911100.00
CONT_ASSIGN70111100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70511100.00
CONT_ASSIGN70711100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN71111100.00
CONT_ASSIGN71211100.00
CONT_ASSIGN71311100.00
CONT_ASSIGN71511100.00
CONT_ASSIGN71711100.00
CONT_ASSIGN71811100.00
CONT_ASSIGN71911100.00
CONT_ASSIGN72111100.00
CONT_ASSIGN72311100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN72611100.00
CONT_ASSIGN72711100.00
CONT_ASSIGN72911100.00
CONT_ASSIGN73011100.00
CONT_ASSIGN73211100.00
CONT_ASSIGN73311100.00
CONT_ASSIGN73511100.00
CONT_ASSIGN73611100.00
CONT_ASSIGN73811100.00
ALWAYS7421717100.00
ALWAYS7632222100.00
CONT_ASSIGN84400
CONT_ASSIGN85211100.00
CONT_ASSIGN85311100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_gpio_0.1/rtl/gpio_reg_top.sv' or '../src/lowrisc_ip_gpio_0.1/rtl/gpio_reg_top.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
68 1 1
69 1 1
70 1 1
71 1 1
MISSING_ELSE
77 1 1
89 1 1
90 1 1
118 1 1
119 1 1
241 1 1
255 1 1
261 1 1
275 1 1
309 1 1
323 1 1
329 1 1
344 1 1
360 1 1
366 1 1
381 1 1
397 1 1
403 1 1
417 1 1
423 1 1
438 1 1
454 1 1
460 1 1
475 1 1
491 1 1
637 1 1
638 1 1
639 1 1
640 1 1
641 1 1
642 1 1
643 1 1
644 1 1
645 1 1
646 1 1
647 1 1
648 1 1
649 1 1
650 1 1
651 1 1
652 1 1
653 1 1
656 1 1
660 1 1
680 1 1
682 1 1
683 1 1
685 1 1
686 1 1
688 1 1
689 1 1
691 1 1
692 1 1
693 1 1
695 1 1
696 1 1
697 1 1
699 1 1
701 1 1
702 1 1
703 1 1
705 1 1
707 1 1
708 1 1
709 1 1
711 1 1
712 1 1
713 1 1
715 1 1
717 1 1
718 1 1
719 1 1
721 1 1
723 1 1
724 1 1
726 1 1
727 1 1
729 1 1
730 1 1
732 1 1
733 1 1
735 1 1
736 1 1
738 1 1
742 1 1
743 1 1
744 1 1
745 1 1
746 1 1
747 1 1
748 1 1
749 1 1
750 1 1
751 1 1
752 1 1
753 1 1
754 1 1
755 1 1
756 1 1
757 1 1
758 1 1
763 1 1
764 1 1
766 1 1
770 1 1
774 1 1
778 1 1
782 1 1
786 1 1
790 1 1
791 1 1
795 1 1
796 1 1
800 1 1
804 1 1
805 1 1
809 1 1
810 1 1
814 1 1
818 1 1
822 1 1
826 1 1
830 1 1
844 unreachable
852 1 1
853 1 1


Cond Coverage for Module : gpio_reg_top
TotalCoveredPercent
Conditions20320199.01
Logical20320199.01
Non-Logical00
Event00

 LINE       58
 EXPRESSION (reg_we && ((!addrmiss)))
             ---1--    ------2------
-1--2-StatusTests
01CoveredT22,T23,T24
10Not Covered
11CoveredT22,T23,T24

 LINE       70
 EXPRESSION (intg_err || reg_we_err)
             ----1---    -----2----
-1--2-StatusTests
00CoveredT22,T23,T24
01CoveredT34,T35,T36
10CoveredT30,T31,T32

 LINE       77
 EXPRESSION (err_q | intg_err | reg_we_err)
             --1--   ----2---   -----3----
-1--2--3-StatusTests
000CoveredT22,T23,T24
001CoveredT34,T35,T36
010CoveredT30,T31,T32
100CoveredT34,T35,T36

 LINE       119
 EXPRESSION (addrmiss | wr_err | intg_err)
             ----1---   ---2--   ----3---
-1--2--3-StatusTests
000CoveredT22,T23,T24
001CoveredT30,T31,T32
010CoveredT25,T28,T29
100Not Covered

 LINE       638
 EXPRESSION (reg_addr == gpio_reg_pkg::GPIO_INTR_STATE_OFFSET)
            -------------------------1------------------------
-1-StatusTests
0CoveredT22,T23,T24
1CoveredT22,T23,T24

 LINE       639
 EXPRESSION (reg_addr == gpio_reg_pkg::GPIO_INTR_ENABLE_OFFSET)
            -------------------------1-------------------------
-1-StatusTests
0CoveredT22,T23,T24
1CoveredT22,T23,T25

 LINE       640
 EXPRESSION (reg_addr == gpio_reg_pkg::GPIO_INTR_TEST_OFFSET)
            ------------------------1------------------------
-1-StatusTests
0CoveredT22,T23,T24
1CoveredT23,T25,T1

 LINE       641
 EXPRESSION (reg_addr == gpio_reg_pkg::GPIO_ALERT_TEST_OFFSET)
            -------------------------1------------------------
-1-StatusTests
0CoveredT22,T23,T24
1CoveredT23,T24,T25

 LINE       642
 EXPRESSION (reg_addr == gpio_reg_pkg::GPIO_DATA_IN_OFFSET)
            -----------------------1-----------------------
-1-StatusTests
0CoveredT22,T23,T24
1CoveredT22,T23,T25

 LINE       643
 EXPRESSION (reg_addr == gpio_reg_pkg::GPIO_DIRECT_OUT_OFFSET)
            -------------------------1------------------------
-1-StatusTests
0CoveredT22,T23,T24
1CoveredT23,T25,T27

 LINE       644
 EXPRESSION (reg_addr == gpio_reg_pkg::GPIO_MASKED_OUT_LOWER_OFFSET)
            ----------------------------1---------------------------
-1-StatusTests
0CoveredT22,T23,T24
1CoveredT23,T25,T27

 LINE       645
 EXPRESSION (reg_addr == gpio_reg_pkg::GPIO_MASKED_OUT_UPPER_OFFSET)
            ----------------------------1---------------------------
-1-StatusTests
0CoveredT22,T23,T24
1CoveredT23,T25,T27

 LINE       646
 EXPRESSION (reg_addr == gpio_reg_pkg::GPIO_DIRECT_OE_OFFSET)
            ------------------------1------------------------
-1-StatusTests
0CoveredT22,T23,T24
1CoveredT22,T23,T25

 LINE       647
 EXPRESSION (reg_addr == gpio_reg_pkg::GPIO_MASKED_OE_LOWER_OFFSET)
            ---------------------------1---------------------------
-1-StatusTests
0CoveredT22,T23,T24
1CoveredT23,T25,T27

 LINE       648
 EXPRESSION (reg_addr == gpio_reg_pkg::GPIO_MASKED_OE_UPPER_OFFSET)
            ---------------------------1---------------------------
-1-StatusTests
0CoveredT22,T23,T24
1CoveredT23,T25,T27

 LINE       649
 EXPRESSION (reg_addr == gpio_reg_pkg::GPIO_INTR_CTRL_EN_RISING_OFFSET)
            -----------------------------1-----------------------------
-1-StatusTests
0CoveredT22,T23,T24
1CoveredT22,T23,T25

 LINE       650
 EXPRESSION (reg_addr == gpio_reg_pkg::GPIO_INTR_CTRL_EN_FALLING_OFFSET)
            ------------------------------1-----------------------------
-1-StatusTests
0CoveredT22,T23,T24
1CoveredT22,T23,T25

 LINE       651
 EXPRESSION (reg_addr == gpio_reg_pkg::GPIO_INTR_CTRL_EN_LVLHIGH_OFFSET)
            ------------------------------1-----------------------------
-1-StatusTests
0CoveredT22,T23,T24
1CoveredT22,T23,T25

 LINE       652
 EXPRESSION (reg_addr == gpio_reg_pkg::GPIO_INTR_CTRL_EN_LVLLOW_OFFSET)
            -----------------------------1-----------------------------
-1-StatusTests
0CoveredT22,T23,T24
1CoveredT22,T23,T25

 LINE       653
 EXPRESSION (reg_addr == gpio_reg_pkg::GPIO_CTRL_EN_INPUT_FILTER_OFFSET)
            ------------------------------1-----------------------------
-1-StatusTests
0CoveredT22,T23,T24
1CoveredT22,T23,T25

 LINE       656
 EXPRESSION ((reg_re || reg_we) ? ((~|addr_hit)) : 1'b0)
             ---------1--------
-1-StatusTests
0CoveredT22,T23,T24
1CoveredT22,T23,T24

 LINE       656
 SUB-EXPRESSION (reg_re || reg_we)
                 ---1--    ---2--
-1--2-StatusTests
00CoveredT22,T23,T24
01CoveredT22,T23,T24
10CoveredT22,T23,T24

 LINE       660
 EXPRESSION 
 Number  Term
      1  reg_we & 
      2  ((addr_hit[0] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[1] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[2] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[3] & ((|(4'b1 & (~reg_be))))) | (addr_hit[4] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[5] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[6] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[7] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[8] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[9] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[10] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[11] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[12] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[13] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[14] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[15] & ((|(4'b1111 & (~reg_be)))))))
-1--2-StatusTests
01CoveredT22,T23,T24
10CoveredT22,T23,T24
11CoveredT25,T28,T29

 LINE       660
 SUB-EXPRESSION 
 Number  Term
      1  (addr_hit[0] & ((|(4'b1111 & (~reg_be))))) | 
      2  (addr_hit[1] & ((|(4'b1111 & (~reg_be))))) | 
      3  (addr_hit[2] & ((|(4'b1111 & (~reg_be))))) | 
      4  (addr_hit[3] & ((|(4'b1 & (~reg_be))))) | 
      5  (addr_hit[4] & ((|(4'b1111 & (~reg_be))))) | 
      6  (addr_hit[5] & ((|(4'b1111 & (~reg_be))))) | 
      7  (addr_hit[6] & ((|(4'b1111 & (~reg_be))))) | 
      8  (addr_hit[7] & ((|(4'b1111 & (~reg_be))))) | 
      9  (addr_hit[8] & ((|(4'b1111 & (~reg_be))))) | 
     10  (addr_hit[9] & ((|(4'b1111 & (~reg_be))))) | 
     11  (addr_hit[10] & ((|(4'b1111 & (~reg_be))))) | 
     12  (addr_hit[11] & ((|(4'b1111 & (~reg_be))))) | 
     13  (addr_hit[12] & ((|(4'b1111 & (~reg_be))))) | 
     14  (addr_hit[13] & ((|(4'b1111 & (~reg_be))))) | 
     15  (addr_hit[14] & ((|(4'b1111 & (~reg_be))))) | 
     16  (addr_hit[15] & ((|(4'b1111 & (~reg_be))))))
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
0000000000000000CoveredT22,T23,T24
0000000000000001CoveredT23,T25,T1
0000000000000010CoveredT23,T25,T1
0000000000000100CoveredT23,T25,T1
0000000000001000CoveredT23,T25,T1
0000000000010000CoveredT23,T25,T1
0000000000100000CoveredT23,T25,T1
0000000001000000CoveredT23,T25,T1
0000000010000000CoveredT23,T25,T1
0000000100000000CoveredT23,T25,T1
0000001000000000CoveredT23,T25,T1
0000010000000000CoveredT23,T25,T1
0000100000000000CoveredT22,T23,T25
0001000000000000CoveredT23,T25,T1
0010000000000000CoveredT23,T25,T1
0100000000000000CoveredT23,T25,T1
1000000000000000CoveredT22,T23,T24

 LINE       660
 SUB-EXPRESSION (addr_hit[0] & ((|(4'b1111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT22,T23,T24
10CoveredT22,T23,T25
11CoveredT22,T23,T24

 LINE       660
 SUB-EXPRESSION (addr_hit[1] & ((|(4'b1111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT22,T23,T24
10CoveredT22,T23,T25
11CoveredT23,T25,T1

 LINE       660
 SUB-EXPRESSION (addr_hit[2] & ((|(4'b1111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT22,T23,T24
10CoveredT23,T25,T1
11CoveredT23,T25,T1

 LINE       660
 SUB-EXPRESSION (addr_hit[3] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT22,T23,T24
10CoveredT23,T24,T25
11CoveredT23,T25,T1

 LINE       660
 SUB-EXPRESSION (addr_hit[4] & ((|(4'b1111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT22,T23,T24
10CoveredT22,T23,T25
11CoveredT22,T23,T25

 LINE       660
 SUB-EXPRESSION (addr_hit[5] & ((|(4'b1111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT22,T23,T24
10CoveredT23,T25,T27
11CoveredT23,T25,T1

 LINE       660
 SUB-EXPRESSION (addr_hit[6] & ((|(4'b1111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT22,T23,T24
10CoveredT23,T25,T27
11CoveredT23,T25,T1

 LINE       660
 SUB-EXPRESSION (addr_hit[7] & ((|(4'b1111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT22,T23,T24
10CoveredT23,T25,T27
11CoveredT23,T25,T1

 LINE       660
 SUB-EXPRESSION (addr_hit[8] & ((|(4'b1111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT22,T23,T24
10CoveredT22,T23,T25
11CoveredT23,T25,T1

 LINE       660
 SUB-EXPRESSION (addr_hit[9] & ((|(4'b1111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT22,T23,T24
10CoveredT23,T25,T27
11CoveredT23,T25,T1

 LINE       660
 SUB-EXPRESSION (addr_hit[10] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT22,T23,T24
10CoveredT23,T25,T27
11CoveredT23,T25,T1

 LINE       660
 SUB-EXPRESSION (addr_hit[11] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT22,T23,T24
10CoveredT22,T23,T25
11CoveredT23,T25,T1

 LINE       660
 SUB-EXPRESSION (addr_hit[12] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT22,T23,T24
10CoveredT22,T23,T25
11CoveredT23,T25,T1

 LINE       660
 SUB-EXPRESSION (addr_hit[13] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT22,T23,T24
10CoveredT22,T23,T25
11CoveredT23,T25,T1

 LINE       660
 SUB-EXPRESSION (addr_hit[14] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT22,T23,T24
10CoveredT22,T23,T25
11CoveredT23,T25,T1

 LINE       660
 SUB-EXPRESSION (addr_hit[15] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT22,T23,T24
10CoveredT22,T23,T25
11CoveredT23,T25,T1

 LINE       680
 EXPRESSION (addr_hit[0] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T24
101CoveredT22,T23,T24
110CoveredT25,T28,T29
111CoveredT23,T25,T1

 LINE       683
 EXPRESSION (addr_hit[1] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T24
101CoveredT22,T23,T25
110CoveredT25,T28,T29
111CoveredT22,T23,T25

 LINE       686
 EXPRESSION (addr_hit[2] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T24
101CoveredT23,T25,T1
110CoveredT25,T28,T29
111CoveredT23,T25,T1

 LINE       689
 EXPRESSION (addr_hit[3] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T25
101CoveredT23,T24,T25
110CoveredT25,T28,T29
111CoveredT24,T26,T37

 LINE       692
 EXPRESSION (addr_hit[5] & reg_re & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T24
101CoveredT23,T25,T27
110CoveredT31,T38,T39
111CoveredT23,T25,T1

 LINE       693
 EXPRESSION (addr_hit[5] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T24
101CoveredT23,T25,T27
110CoveredT25,T28,T29
111CoveredT23,T25,T27

 LINE       696
 EXPRESSION (addr_hit[6] & reg_re & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T24
101CoveredT23,T25,T27
110CoveredT32,T40,T41
111CoveredT23,T25,T1

 LINE       697
 EXPRESSION (addr_hit[6] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T24
101CoveredT23,T25,T27
110CoveredT25,T28,T29
111CoveredT23,T25,T27

 LINE       702
 EXPRESSION (addr_hit[7] & reg_re & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T24
101CoveredT23,T25,T27
110CoveredT30,T38,T42
111CoveredT23,T25,T1

 LINE       703
 EXPRESSION (addr_hit[7] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T24
101CoveredT23,T25,T27
110CoveredT25,T28,T29
111CoveredT23,T25,T27

 LINE       708
 EXPRESSION (addr_hit[8] & reg_re & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T24
101CoveredT22,T23,T25
110CoveredT31,T32,T39
111CoveredT23,T25,T1

 LINE       709
 EXPRESSION (addr_hit[8] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T24
101CoveredT22,T23,T25
110CoveredT25,T28,T29
111CoveredT22,T23,T25

 LINE       712
 EXPRESSION (addr_hit[9] & reg_re & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T24
101CoveredT23,T25,T27
110CoveredT32,T40,T38
111CoveredT23,T25,T1

 LINE       713
 EXPRESSION (addr_hit[9] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T24
101CoveredT23,T25,T27
110CoveredT25,T28,T29
111CoveredT23,T25,T27

 LINE       718
 EXPRESSION (addr_hit[10] & reg_re & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T24
101CoveredT23,T25,T27
110CoveredT43,T44,T45
111CoveredT23,T25,T1

 LINE       719
 EXPRESSION (addr_hit[10] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T24
101CoveredT23,T25,T27
110CoveredT25,T28,T29
111CoveredT23,T25,T27

 LINE       724
 EXPRESSION (addr_hit[11] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T24
101CoveredT22,T23,T25
110CoveredT25,T28,T29
111CoveredT22,T23,T25

 LINE       727
 EXPRESSION (addr_hit[12] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T24
101CoveredT22,T23,T25
110CoveredT25,T28,T29
111CoveredT22,T23,T25

 LINE       730
 EXPRESSION (addr_hit[13] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T24
101CoveredT22,T23,T25
110CoveredT25,T28,T29
111CoveredT22,T23,T25

 LINE       733
 EXPRESSION (addr_hit[14] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T24
101CoveredT22,T23,T25
110CoveredT25,T28,T29
111CoveredT22,T23,T25

 LINE       736
 EXPRESSION (addr_hit[15] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT22,T23,T24
101CoveredT22,T23,T25
110CoveredT25,T28,T29
111CoveredT22,T16,T17

Branch Coverage for Module : gpio_reg_top
Line No.TotalCoveredPercent
Branches 22 22 100.00
TERNARY 656 2 2 100.00
IF 68 3 3 100.00
CASE 764 17 17 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_gpio_0.1/rtl/gpio_reg_top.sv' or '../src/lowrisc_ip_gpio_0.1/rtl/gpio_reg_top.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 656 ((reg_re || reg_we)) ?

Branches:
-1-StatusTests
1 Covered T22,T23,T24
0 Covered T22,T23,T24


LineNo. Expression -1-: 68 if ((!rst_ni)) -2-: 70 if ((intg_err || reg_we_err))

Branches:
-1--2-StatusTests
1 - Covered T22,T23,T24
0 1 Covered T34,T35,T36
0 0 Covered T22,T23,T24


LineNo. Expression -1-: 764 case (1'b1)

Branches:
-1-StatusTests
addr_hit[0] Covered T22,T23,T24
addr_hit[1] Covered T22,T23,T24
addr_hit[2] Covered T22,T23,T24
addr_hit[3] Covered T22,T23,T24
addr_hit[4] Covered T22,T23,T24
addr_hit[5] Covered T22,T23,T24
addr_hit[6] Covered T22,T23,T24
addr_hit[7] Covered T22,T23,T24
addr_hit[8] Covered T22,T23,T24
addr_hit[9] Covered T22,T23,T24
addr_hit[10] Covered T22,T23,T24
addr_hit[11] Covered T22,T23,T24
addr_hit[12] Covered T22,T23,T24
addr_hit[13] Covered T22,T23,T24
addr_hit[14] Covered T22,T23,T24
addr_hit[15] Covered T22,T23,T24
default Covered T22,T24,T25


Assert Coverage for Module : gpio_reg_top
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 4 4 100.00 4 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 4 4 100.00 4 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
en2addrHit 180932995 16219273 0 0
reAfterRv 180932995 16219273 0 0
rePulse 180932995 7204551 0 0
wePulse 180932995 9014722 0 0


en2addrHit
NameAttemptsReal SuccessesFailuresIncomplete
Total 180932995 16219273 0 0
T1 124323 104151 0 0
T11 5318 294 0 0
T12 2934 250 0 0
T13 2996 492 0 0
T22 7004 1786 0 0
T23 131755 133159 0 0
T24 1132 12 0 0
T25 349823 478639 0 0
T26 1582 20 0 0
T27 3204 408 0 0

reAfterRv
NameAttemptsReal SuccessesFailuresIncomplete
Total 180932995 16219273 0 0
T1 124323 104151 0 0
T11 5318 294 0 0
T12 2934 250 0 0
T13 2996 492 0 0
T22 7004 1786 0 0
T23 131755 133159 0 0
T24 1132 12 0 0
T25 349823 478639 0 0
T26 1582 20 0 0
T27 3204 408 0 0

rePulse
NameAttemptsReal SuccessesFailuresIncomplete
Total 180932995 7204551 0 0
T1 124323 45458 0 0
T11 5318 128 0 0
T12 2934 134 0 0
T13 2996 91 0 0
T22 7004 1323 0 0
T23 131755 60853 0 0
T24 1132 1 0 0
T25 349823 211094 0 0
T26 1582 1 0 0
T27 3204 86 0 0

wePulse
NameAttemptsReal SuccessesFailuresIncomplete
Total 180932995 9014722 0 0
T1 124323 58693 0 0
T11 5318 166 0 0
T12 2934 116 0 0
T13 2996 401 0 0
T22 7004 463 0 0
T23 131755 72306 0 0
T24 1132 11 0 0
T25 349823 267545 0 0
T26 1582 19 0 0
T27 3204 322 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%