Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : i2c_reg_top
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.92 100.00 99.68 100.00 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_reg_top.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_reg 99.92 100.00 99.68 100.00 100.00



Module Instance : tb.dut.u_reg

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.92 100.00 99.68 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.81 98.52 98.20 100.00 97.35 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
98.72 100.00 100.00 94.87 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_acqdata_abyte 100.00 100.00
u_acqdata_signal 100.00 100.00
u_alert_test 100.00 100.00
u_chk 100.00 100.00 100.00 100.00
u_controller_events_nack 100.00 100.00 100.00 100.00
u_controller_events_unhandled_nack_timeout 88.89 100.00 66.67 100.00
u_ctrl_enablehost 100.00 100.00 100.00 100.00
u_ctrl_enabletarget 100.00 100.00 100.00 100.00
u_ctrl_llpbk 100.00 100.00 100.00 100.00
u_fdata0_qe 100.00 100.00 100.00
u_fdata_fbyte 100.00 100.00 100.00 100.00
u_fdata_nakok 100.00 100.00 100.00 100.00
u_fdata_rcont 100.00 100.00 100.00 100.00
u_fdata_readb 100.00 100.00 100.00 100.00
u_fdata_start 100.00 100.00 100.00 100.00
u_fdata_stop 100.00 100.00 100.00 100.00
u_fifo_ctrl0_qe 100.00 100.00 100.00
u_fifo_ctrl_acqrst 100.00 100.00 100.00 100.00
u_fifo_ctrl_fmtrst 100.00 100.00 100.00 100.00
u_fifo_ctrl_rxrst 100.00 100.00 100.00 100.00
u_fifo_ctrl_txrst 100.00 100.00 100.00 100.00
u_host_fifo_config0_qe 100.00 100.00 100.00
u_host_fifo_config_fmt_thresh 100.00 100.00 100.00 100.00
u_host_fifo_config_rx_thresh 100.00 100.00 100.00 100.00
u_host_fifo_status_fmtlvl 100.00 100.00
u_host_fifo_status_rxlvl 100.00 100.00
u_host_nack_handler_timeout_en 100.00 100.00 100.00 100.00
u_host_nack_handler_timeout_val 100.00 100.00 100.00 100.00
u_host_timeout_ctrl 100.00 100.00 100.00 100.00
u_intr_enable_acq_full 100.00 100.00 100.00 100.00
u_intr_enable_acq_threshold 100.00 100.00 100.00 100.00
u_intr_enable_cmd_complete 100.00 100.00 100.00 100.00
u_intr_enable_controller_halt 100.00 100.00 100.00 100.00
u_intr_enable_fmt_threshold 100.00 100.00 100.00 100.00
u_intr_enable_host_timeout 100.00 100.00 100.00 100.00
u_intr_enable_rx_overflow 100.00 100.00 100.00 100.00
u_intr_enable_rx_threshold 100.00 100.00 100.00 100.00
u_intr_enable_scl_interference 100.00 100.00 100.00 100.00
u_intr_enable_sda_interference 100.00 100.00 100.00 100.00
u_intr_enable_sda_unstable 100.00 100.00 100.00 100.00
u_intr_enable_stretch_timeout 100.00 100.00 100.00 100.00
u_intr_enable_tx_stretch 100.00 100.00 100.00 100.00
u_intr_enable_tx_threshold 100.00 100.00 100.00 100.00
u_intr_enable_unexp_stop 100.00 100.00 100.00 100.00
u_intr_state_acq_full 62.59 77.78 50.00 60.00
u_intr_state_acq_threshold 62.59 77.78 50.00 60.00
u_intr_state_cmd_complete 100.00 100.00 100.00 100.00
u_intr_state_controller_halt 62.59 77.78 50.00 60.00
u_intr_state_fmt_threshold 62.59 77.78 50.00 60.00
u_intr_state_host_timeout 100.00 100.00 100.00 100.00
u_intr_state_rx_overflow 100.00 100.00 100.00 100.00
u_intr_state_rx_threshold 62.59 77.78 50.00 60.00
u_intr_state_scl_interference 100.00 100.00 100.00 100.00
u_intr_state_sda_interference 100.00 100.00 100.00 100.00
u_intr_state_sda_unstable 100.00 100.00 100.00 100.00
u_intr_state_stretch_timeout 100.00 100.00 100.00 100.00
u_intr_state_tx_stretch 62.59 77.78 50.00 60.00
u_intr_state_tx_threshold 62.59 77.78 50.00 60.00
u_intr_state_unexp_stop 100.00 100.00 100.00 100.00
u_intr_test_acq_full 100.00 100.00
u_intr_test_acq_threshold 100.00 100.00
u_intr_test_cmd_complete 100.00 100.00
u_intr_test_controller_halt 100.00 100.00
u_intr_test_fmt_threshold 100.00 100.00
u_intr_test_host_timeout 100.00 100.00
u_intr_test_rx_overflow 100.00 100.00
u_intr_test_rx_threshold 100.00 100.00
u_intr_test_scl_interference 100.00 100.00
u_intr_test_sda_interference 100.00 100.00
u_intr_test_sda_unstable 100.00 100.00
u_intr_test_stretch_timeout 100.00 100.00
u_intr_test_tx_stretch 100.00 100.00
u_intr_test_tx_threshold 100.00 100.00
u_intr_test_unexp_stop 100.00 100.00
u_ovrd_sclval 100.00 100.00 100.00 100.00
u_ovrd_sdaval 100.00 100.00 100.00 100.00
u_ovrd_txovrden 100.00 100.00 100.00 100.00
u_prim_reg_we_check 100.00 100.00 100.00
u_rdata 100.00 100.00
u_reg_if 98.67 97.14 97.53 100.00 100.00
u_rsp_intg_gen 100.00 100.00 100.00
u_status_acqempty 100.00 100.00
u_status_acqfull 100.00 100.00
u_status_fmtempty 100.00 100.00
u_status_fmtfull 100.00 100.00
u_status_hostidle 100.00 100.00
u_status_rxempty 100.00 100.00
u_status_rxfull 100.00 100.00
u_status_targetidle 100.00 100.00
u_status_txempty 100.00 100.00
u_status_txfull 100.00 100.00
u_target_fifo_config0_qe 100.00 100.00 100.00
u_target_fifo_config_acq_thresh 100.00 100.00 100.00 100.00
u_target_fifo_config_tx_thresh 100.00 100.00 100.00 100.00
u_target_fifo_config_txrst_on_cond 100.00 100.00 100.00 100.00
u_target_fifo_status_acqlvl 100.00 100.00
u_target_fifo_status_txlvl 100.00 100.00
u_target_id_address0 100.00 100.00 100.00 100.00
u_target_id_address1 100.00 100.00 100.00 100.00
u_target_id_mask0 100.00 100.00 100.00 100.00
u_target_id_mask1 100.00 100.00 100.00 100.00
u_target_nack_count 81.90 100.00 60.00 85.71
u_target_timeout_ctrl_en 100.00 100.00 100.00 100.00
u_target_timeout_ctrl_val 100.00 100.00 100.00 100.00
u_timeout_ctrl_en 100.00 100.00 100.00 100.00
u_timeout_ctrl_val 100.00 100.00 100.00 100.00
u_timing0_thigh 100.00 100.00 100.00 100.00
u_timing0_tlow 100.00 100.00 100.00 100.00
u_timing1_t_f 100.00 100.00 100.00 100.00
u_timing1_t_r 100.00 100.00 100.00 100.00
u_timing2_thd_sta 100.00 100.00 100.00 100.00
u_timing2_tsu_sta 100.00 100.00 100.00 100.00
u_timing3_thd_dat 100.00 100.00 100.00 100.00
u_timing3_tsu_dat 100.00 100.00 100.00 100.00
u_timing4_t_buf 100.00 100.00 100.00 100.00
u_timing4_tsu_sto 100.00 100.00 100.00 100.00
u_txdata 100.00 100.00 100.00 100.00
u_txdata0_qe 100.00 100.00 100.00
u_val_scl_rx 66.67 66.67
u_val_sda_rx 66.67 66.67


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : i2c_reg_top
Line No.TotalCoveredPercent
TOTAL333333100.00
ALWAYS6844100.00
CONT_ASSIGN7711100.00
CONT_ASSIGN8911100.00
CONT_ASSIGN9011100.00
CONT_ASSIGN11811100.00
CONT_ASSIGN11911100.00
CONT_ASSIGN114111100.00
CONT_ASSIGN115611100.00
CONT_ASSIGN117211100.00
CONT_ASSIGN118811100.00
CONT_ASSIGN120411100.00
CONT_ASSIGN122011100.00
CONT_ASSIGN123611100.00
CONT_ASSIGN125211100.00
CONT_ASSIGN126811100.00
CONT_ASSIGN128411100.00
CONT_ASSIGN130011100.00
CONT_ASSIGN131611100.00
CONT_ASSIGN133211100.00
CONT_ASSIGN134811100.00
CONT_ASSIGN136411100.00
CONT_ASSIGN138011100.00
CONT_ASSIGN138611100.00
CONT_ASSIGN140011100.00
CONT_ASSIGN169211100.00
CONT_ASSIGN172011100.00
CONT_ASSIGN174811100.00
CONT_ASSIGN177611100.00
CONT_ASSIGN180411100.00
CONT_ASSIGN183211100.00
CONT_ASSIGN187311100.00
CONT_ASSIGN190111100.00
CONT_ASSIGN192911100.00
CONT_ASSIGN195711100.00
CONT_ASSIGN199811100.00
CONT_ASSIGN202611100.00
CONT_ASSIGN206711100.00
CONT_ASSIGN209511100.00
CONT_ASSIGN212311100.00
CONT_ASSIGN282011100.00
ALWAYS30503030100.00
CONT_ASSIGN308211100.00
ALWAYS308611100.00
CONT_ASSIGN311911100.00
CONT_ASSIGN312111100.00
CONT_ASSIGN312311100.00
CONT_ASSIGN312511100.00
CONT_ASSIGN312711100.00
CONT_ASSIGN312911100.00
CONT_ASSIGN313111100.00
CONT_ASSIGN313311100.00
CONT_ASSIGN313511100.00
CONT_ASSIGN313611100.00
CONT_ASSIGN313811100.00
CONT_ASSIGN314011100.00
CONT_ASSIGN314211100.00
CONT_ASSIGN314411100.00
CONT_ASSIGN314611100.00
CONT_ASSIGN314811100.00
CONT_ASSIGN315011100.00
CONT_ASSIGN315211100.00
CONT_ASSIGN315411100.00
CONT_ASSIGN315611100.00
CONT_ASSIGN315811100.00
CONT_ASSIGN316011100.00
CONT_ASSIGN316211100.00
CONT_ASSIGN316411100.00
CONT_ASSIGN316611100.00
CONT_ASSIGN316711100.00
CONT_ASSIGN316911100.00
CONT_ASSIGN317111100.00
CONT_ASSIGN317311100.00
CONT_ASSIGN317511100.00
CONT_ASSIGN317711100.00
CONT_ASSIGN317911100.00
CONT_ASSIGN318111100.00
CONT_ASSIGN318311100.00
CONT_ASSIGN318511100.00
CONT_ASSIGN318711100.00
CONT_ASSIGN318911100.00
CONT_ASSIGN319111100.00
CONT_ASSIGN319311100.00
CONT_ASSIGN319511100.00
CONT_ASSIGN319711100.00
CONT_ASSIGN319811100.00
CONT_ASSIGN320011100.00
CONT_ASSIGN320111100.00
CONT_ASSIGN320311100.00
CONT_ASSIGN320511100.00
CONT_ASSIGN320711100.00
CONT_ASSIGN320811100.00
CONT_ASSIGN320911100.00
CONT_ASSIGN321011100.00
CONT_ASSIGN321211100.00
CONT_ASSIGN321411100.00
CONT_ASSIGN321611100.00
CONT_ASSIGN321811100.00
CONT_ASSIGN322011100.00
CONT_ASSIGN322211100.00
CONT_ASSIGN322311100.00
CONT_ASSIGN322511100.00
CONT_ASSIGN322711100.00
CONT_ASSIGN322911100.00
CONT_ASSIGN323111100.00
CONT_ASSIGN323211100.00
CONT_ASSIGN323411100.00
CONT_ASSIGN323611100.00
CONT_ASSIGN323711100.00
CONT_ASSIGN323911100.00
CONT_ASSIGN324111100.00
CONT_ASSIGN324311100.00
CONT_ASSIGN324411100.00
CONT_ASSIGN324511100.00
CONT_ASSIGN324611100.00
CONT_ASSIGN324811100.00
CONT_ASSIGN325011100.00
CONT_ASSIGN325211100.00
CONT_ASSIGN325311100.00
CONT_ASSIGN325411100.00
CONT_ASSIGN325611100.00
CONT_ASSIGN325811100.00
CONT_ASSIGN325911100.00
CONT_ASSIGN326111100.00
CONT_ASSIGN326311100.00
CONT_ASSIGN326411100.00
CONT_ASSIGN326611100.00
CONT_ASSIGN326811100.00
CONT_ASSIGN326911100.00
CONT_ASSIGN327111100.00
CONT_ASSIGN327311100.00
CONT_ASSIGN327411100.00
CONT_ASSIGN327611100.00
CONT_ASSIGN327811100.00
CONT_ASSIGN327911100.00
CONT_ASSIGN328111100.00
CONT_ASSIGN328311100.00
CONT_ASSIGN328411100.00
CONT_ASSIGN328611100.00
CONT_ASSIGN328811100.00
CONT_ASSIGN329011100.00
CONT_ASSIGN329211100.00
CONT_ASSIGN329311100.00
CONT_ASSIGN329411100.00
CONT_ASSIGN329611100.00
CONT_ASSIGN329711100.00
CONT_ASSIGN329911100.00
CONT_ASSIGN330011100.00
CONT_ASSIGN330211100.00
CONT_ASSIGN330411100.00
CONT_ASSIGN330511100.00
CONT_ASSIGN330811100.00
CONT_ASSIGN331011100.00
CONT_ASSIGN331211100.00
CONT_ASSIGN331311100.00
CONT_ASSIGN331511100.00
CONT_ASSIGN331711100.00
ALWAYS33213030100.00
ALWAYS3355113113100.00
CONT_ASSIGN356600
CONT_ASSIGN357411100.00
CONT_ASSIGN357511100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_reg_top.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_reg_top.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
68 1 1
69 1 1
70 1 1
71 1 1
MISSING_ELSE
77 1 1
89 1 1
90 1 1
118 1 1
119 1 1
1141 1 1
1156 1 1
1172 1 1
1188 1 1
1204 1 1
1220 1 1
1236 1 1
1252 1 1
1268 1 1
1284 1 1
1300 1 1
1316 1 1
1332 1 1
1348 1 1
1364 1 1
1380 1 1
1386 1 1
1400 1 1
1692 1 1
1720 1 1
1748 1 1
1776 1 1
1804 1 1
1832 1 1
1873 1 1
1901 1 1
1929 1 1
1957 1 1
1998 1 1
2026 1 1
2067 1 1
2095 1 1
2123 1 1
2820 1 1
3050 1 1
3051 1 1
3052 1 1
3053 1 1
3054 1 1
3055 1 1
3056 1 1
3057 1 1
3058 1 1
3059 1 1
3060 1 1
3061 1 1
3062 1 1
3063 1 1
3064 1 1
3065 1 1
3066 1 1
3067 1 1
3068 1 1
3069 1 1
3070 1 1
3071 1 1
3072 1 1
3073 1 1
3074 1 1
3075 1 1
3076 1 1
3077 1 1
3078 1 1
3079 1 1
3082 1 1
3086 1 1
3119 1 1
3121 1 1
3123 1 1
3125 1 1
3127 1 1
3129 1 1
3131 1 1
3133 1 1
3135 1 1
3136 1 1
3138 1 1
3140 1 1
3142 1 1
3144 1 1
3146 1 1
3148 1 1
3150 1 1
3152 1 1
3154 1 1
3156 1 1
3158 1 1
3160 1 1
3162 1 1
3164 1 1
3166 1 1
3167 1 1
3169 1 1
3171 1 1
3173 1 1
3175 1 1
3177 1 1
3179 1 1
3181 1 1
3183 1 1
3185 1 1
3187 1 1
3189 1 1
3191 1 1
3193 1 1
3195 1 1
3197 1 1
3198 1 1
3200 1 1
3201 1 1
3203 1 1
3205 1 1
3207 1 1
3208 1 1
3209 1 1
3210 1 1
3212 1 1
3214 1 1
3216 1 1
3218 1 1
3220 1 1
3222 1 1
3223 1 1
3225 1 1
3227 1 1
3229 1 1
3231 1 1
3232 1 1
3234 1 1
3236 1 1
3237 1 1
3239 1 1
3241 1 1
3243 1 1
3244 1 1
3245 1 1
3246 1 1
3248 1 1
3250 1 1
3252 1 1
3253 1 1
3254 1 1
3256 1 1
3258 1 1
3259 1 1
3261 1 1
3263 1 1
3264 1 1
3266 1 1
3268 1 1
3269 1 1
3271 1 1
3273 1 1
3274 1 1
3276 1 1
3278 1 1
3279 1 1
3281 1 1
3283 1 1
3284 1 1
3286 1 1
3288 1 1
3290 1 1
3292 1 1
3293 1 1
3294 1 1
3296 1 1
3297 1 1
3299 1 1
3300 1 1
3302 1 1
3304 1 1
3305 1 1
3308 1 1
3310 1 1
3312 1 1
3313 1 1
3315 1 1
3317 1 1
3321 1 1
3322 1 1
3323 1 1
3324 1 1
3325 1 1
3326 1 1
3327 1 1
3328 1 1
3329 1 1
3330 1 1
3331 1 1
3332 1 1
3333 1 1
3334 1 1
3335 1 1
3336 1 1
3337 1 1
3338 1 1
3339 1 1
3340 1 1
3341 1 1
3342 1 1
3343 1 1
3344 1 1
3345 1 1
3346 1 1
3347 1 1
3348 1 1
3349 1 1
3350 1 1
3355 1 1
3356 1 1
3358 1 1
3359 1 1
3360 1 1
3361 1 1
3362 1 1
3363 1 1
3364 1 1
3365 1 1
3366 1 1
3367 1 1
3368 1 1
3369 1 1
3370 1 1
3371 1 1
3372 1 1
3376 1 1
3377 1 1
3378 1 1
3379 1 1
3380 1 1
3381 1 1
3382 1 1
3383 1 1
3384 1 1
3385 1 1
3386 1 1
3387 1 1
3388 1 1
3389 1 1
3390 1 1
3394 1 1
3395 1 1
3396 1 1
3397 1 1
3398 1 1
3399 1 1
3400 1 1
3401 1 1
3402 1 1
3403 1 1
3404 1 1
3405 1 1
3406 1 1
3407 1 1
3408 1 1
3412 1 1
3416 1 1
3417 1 1
3418 1 1
3422 1 1
3423 1 1
3424 1 1
3425 1 1
3426 1 1
3427 1 1
3428 1 1
3429 1 1
3430 1 1
3431 1 1
3435 1 1
3439 1 1
3440 1 1
3441 1 1
3442 1 1
3443 1 1
3444 1 1
3448 1 1
3449 1 1
3450 1 1
3451 1 1
3455 1 1
3456 1 1
3460 1 1
3461 1 1
3462 1 1
3466 1 1
3467 1 1
3471 1 1
3472 1 1
3476 1 1
3477 1 1
3478 1 1
3482 1 1
3483 1 1
3487 1 1
3488 1 1
3492 1 1
3493 1 1
3497 1 1
3498 1 1
3502 1 1
3503 1 1
3507 1 1
3508 1 1
3512 1 1
3513 1 1
3517 1 1
3518 1 1
3519 1 1
3520 1 1
3524 1 1
3525 1 1
3529 1 1
3533 1 1
3537 1 1
3538 1 1
3542 1 1
3546 1 1
3547 1 1
3551 1 1
3552 1 1
3566 unreachable
3574 1 1
3575 1 1


Cond Coverage for Module : i2c_reg_top
TotalCoveredPercent
Conditions31331299.68
Logical31331299.68
Non-Logical00
Event00

 LINE       58
 EXPRESSION (reg_we && ((!addrmiss)))
             ---1--    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT116,T130,T132
11CoveredT1,T2,T3

 LINE       70
 EXPRESSION (intg_err || reg_we_err)
             ----1---    -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT117,T118,T119
10CoveredT131,T133,T134

 LINE       77
 EXPRESSION (err_q | intg_err | reg_we_err)
             --1--   ----2---   -----3----
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT117,T118,T119
010CoveredT131,T133,T134
100CoveredT117,T118,T119

 LINE       119
 EXPRESSION (addrmiss | wr_err | intg_err)
             ----1---   ---2--   ----3---
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT131,T133,T134
010CoveredT116,T63,T129
100CoveredT116,T129,T130

 LINE       3051
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_INTR_STATE_OFFSET)
            ------------------------1-----------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       3052
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_INTR_ENABLE_OFFSET)
            ------------------------1------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       3053
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_INTR_TEST_OFFSET)
            -----------------------1-----------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT6,T8,T9

 LINE       3054
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_ALERT_TEST_OFFSET)
            ------------------------1-----------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT6,T8,T9

 LINE       3055
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_CTRL_OFFSET)
            ---------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       3056
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_STATUS_OFFSET)
            ----------------------1---------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       3057
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_RDATA_OFFSET)
            ---------------------1---------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T5,T6

 LINE       3058
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_FDATA_OFFSET)
            ---------------------1---------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

 LINE       3059
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_FIFO_CTRL_OFFSET)
            -----------------------1-----------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       3060
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_HOST_FIFO_CONFIG_OFFSET)
            ---------------------------1--------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       3061
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_TARGET_FIFO_CONFIG_OFFSET)
            ----------------------------1---------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       3062
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_HOST_FIFO_STATUS_OFFSET)
            ---------------------------1--------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT6,T8,T9

 LINE       3063
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_TARGET_FIFO_STATUS_OFFSET)
            ----------------------------1---------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T6,T8

 LINE       3064
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_OVRD_OFFSET)
            ---------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT6,T7,T8

 LINE       3065
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_VAL_OFFSET)
            --------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT6,T8,T9

 LINE       3066
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_TIMING0_OFFSET)
            ----------------------1----------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       3067
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_TIMING1_OFFSET)
            ----------------------1----------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       3068
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_TIMING2_OFFSET)
            ----------------------1----------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       3069
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_TIMING3_OFFSET)
            ----------------------1----------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       3070
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_TIMING4_OFFSET)
            ----------------------1----------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       3071
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_TIMEOUT_CTRL_OFFSET)
            -------------------------1------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       3072
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_TARGET_ID_OFFSET)
            -----------------------1-----------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T6

 LINE       3073
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_ACQDATA_OFFSET)
            ----------------------1----------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T6

 LINE       3074
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_TXDATA_OFFSET)
            ----------------------1---------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T6,T8

 LINE       3075
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_HOST_TIMEOUT_CTRL_OFFSET)
            ---------------------------1---------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T6

 LINE       3076
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_TARGET_TIMEOUT_CTRL_OFFSET)
            ----------------------------1----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT6,T8,T9

 LINE       3077
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_TARGET_NACK_COUNT_OFFSET)
            ---------------------------1---------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT6,T8,T9

 LINE       3078
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_HOST_NACK_HANDLER_TIMEOUT_OFFSET)
            -------------------------------1-------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T6,T8

 LINE       3079
 EXPRESSION (reg_addr == i2c_reg_pkg::I2C_CONTROLLER_EVENTS_OFFSET)
            ---------------------------1---------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T5

 LINE       3082
 EXPRESSION ((reg_re || reg_we) ? ((~|addr_hit)) : 1'b0)
             ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       3082
 SUB-EXPRESSION (reg_re || reg_we)
                 ---1--    ---2--
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       3086
 EXPRESSION 
 Number  Term
      1  reg_we & 
      2  ((addr_hit[0] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[1] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[2] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[3] & ((|(4'b1 & (~reg_be))))) | (addr_hit[4] & ((|(4'b1 & (~reg_be))))) | (addr_hit[5] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[6] & ((|(4'b1 & (~reg_be))))) | (addr_hit[7] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[8] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[9] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[10] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[11] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[12] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[13] & ((|(4'b1 & (~reg_be))))) | (addr_hit[14] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[15] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[16] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[17] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[18] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[19] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[20] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[21] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[22] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[23] & ((|(4'b1 & (~reg_be))))) | (addr_hit[24] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[25] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[26] & ((|(4'b1 & (~reg_be))))) | (addr_hit[27] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[28] & ((|(4'b1 & (~reg_be)))))))
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT116,T63,T129

 LINE       3086
 SUB-EXPRESSION 
 Number  Term
      1  (addr_hit[0] & ((|(4'b0011 & (~reg_be))))) | 
      2  (addr_hit[1] & ((|(4'b0011 & (~reg_be))))) | 
      3  (addr_hit[2] & ((|(4'b0011 & (~reg_be))))) | 
      4  (addr_hit[3] & ((|(4'b1 & (~reg_be))))) | 
      5  (addr_hit[4] & ((|(4'b1 & (~reg_be))))) | 
      6  (addr_hit[5] & ((|(4'b0011 & (~reg_be))))) | 
      7  (addr_hit[6] & ((|(4'b1 & (~reg_be))))) | 
      8  (addr_hit[7] & ((|(4'b0011 & (~reg_be))))) | 
      9  (addr_hit[8] & ((|(4'b0011 & (~reg_be))))) | 
     10  (addr_hit[9] & ((|(4'b1111 & (~reg_be))))) | 
     11  (addr_hit[10] & ((|(4'b1111 & (~reg_be))))) | 
     12  (addr_hit[11] & ((|(4'b1111 & (~reg_be))))) | 
     13  (addr_hit[12] & ((|(4'b1111 & (~reg_be))))) | 
     14  (addr_hit[13] & ((|(4'b1 & (~reg_be))))) | 
     15  (addr_hit[14] & ((|(4'b1111 & (~reg_be))))) | 
     16  (addr_hit[15] & ((|(4'b1111 & (~reg_be))))) | 
     17  (addr_hit[16] & ((|(4'b1111 & (~reg_be))))) | 
     18  (addr_hit[17] & ((|(4'b1111 & (~reg_be))))) | 
     19  (addr_hit[18] & ((|(4'b1111 & (~reg_be))))) | 
     20  (addr_hit[19] & ((|(4'b1111 & (~reg_be))))) | 
     21  (addr_hit[20] & ((|(4'b1111 & (~reg_be))))) | 
     22  (addr_hit[21] & ((|(4'b1111 & (~reg_be))))) | 
     23  (addr_hit[22] & ((|(4'b0011 & (~reg_be))))) | 
     24  (addr_hit[23] & ((|(4'b1 & (~reg_be))))) | 
     25  (addr_hit[24] & ((|(4'b1111 & (~reg_be))))) | 
     26  (addr_hit[25] & ((|(4'b1111 & (~reg_be))))) | 
     27  (addr_hit[26] & ((|(4'b1 & (~reg_be))))) | 
     28  (addr_hit[27] & ((|(4'b1111 & (~reg_be))))) | 
     29  (addr_hit[28] & ((|(4'b1 & (~reg_be))))))
Sensitive Expression == 1StatusTests
ALL ZEROSCoveredT1,T2,T3
29 (addr_hit[28] & ((|(4'...CoveredT6,T8,T9
28 (addr_hit[27] & ((|(4'...CoveredT6,T8,T9
27 (addr_hit[26] & ((|(4'...CoveredT6,T8,T9
26 (addr_hit[25] & ((|(4'...CoveredT6,T8,T9
25 (addr_hit[24] & ((|(4'...CoveredT6,T8,T9
24 (addr_hit[23] & ((|(4'...CoveredT6,T8,T9
23 (addr_hit[22] & ((|(4'...CoveredT2,T3,T6
22 (addr_hit[21] & ((|(4'...CoveredT6,T8,T9
21 (addr_hit[20] & ((|(4'...CoveredT6,T8,T9
20 (addr_hit[19] & ((|(4'...CoveredT6,T8,T9
19 (addr_hit[18] & ((|(4'...CoveredT6,T8,T9
18 (addr_hit[17] & ((|(4'...CoveredT6,T8,T9
17 (addr_hit[16] & ((|(4'...CoveredT6,T8,T9
16 (addr_hit[15] & ((|(4'...CoveredT6,T8,T9
15 (addr_hit[14] & ((|(4'...CoveredT6,T8,T9
14 (addr_hit[13] & ((|(4'...CoveredT6,T7,T8
13 (addr_hit[12] & ((|(4'...CoveredT3,T6,T8
12 (addr_hit[11] & ((|(4'...CoveredT6,T8,T9
11 (addr_hit[10] & ((|(4'...CoveredT6,T8,T9
10 (addr_hit[9] & ((|(4'b...CoveredT6,T8,T9
9 (addr_hit[8] & ((|(4'b...CoveredT6,T8,T9
8 (addr_hit[7] & ((|(4'b...CoveredT6,T8,T9
7 (addr_hit[6] & ((|(4'b...CoveredT4,T5,T6
6 (addr_hit[5] & ((|(4'b...CoveredT1,T2,T3
5 (addr_hit[4] & ((|(4'b...CoveredT6,T8,T9
4 (addr_hit[3] & ((|(4'b...CoveredT6,T8,T9
3 (addr_hit[2] & ((|(4'b...CoveredT6,T8,T9
2 (addr_hit[1] & ((|(4'b...CoveredT6,T8,T9
1 (addr_hit[0] & ((|(4'b...CoveredT1,T2,T3

 LINE       3086
 SUB-EXPRESSION (addr_hit[0] & ((|(4'b0011 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       3086
 SUB-EXPRESSION (addr_hit[1] & ((|(4'b0011 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[2] & ((|(4'b0011 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T8,T9
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[3] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T8,T9
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[4] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[5] & ((|(4'b0011 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T4,T5
11CoveredT1,T2,T3

 LINE       3086
 SUB-EXPRESSION (addr_hit[6] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T5,T6
11CoveredT4,T5,T6

 LINE       3086
 SUB-EXPRESSION (addr_hit[7] & ((|(4'b0011 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T4,T5
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[8] & ((|(4'b0011 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[9] & ((|(4'b1111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[10] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[11] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T8,T9
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[12] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T8,T9
11CoveredT3,T6,T8

 LINE       3086
 SUB-EXPRESSION (addr_hit[13] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T7,T8
11CoveredT6,T7,T8

 LINE       3086
 SUB-EXPRESSION (addr_hit[14] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T8,T9
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[15] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[16] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[17] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[18] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[19] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[20] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[21] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T3,T6
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[22] & ((|(4'b0011 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T3,T6
11CoveredT2,T3,T6

 LINE       3086
 SUB-EXPRESSION (addr_hit[23] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T6,T8
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[24] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T3,T6
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[25] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T8,T9
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[26] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T8,T9
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[27] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT5,T6,T8
11CoveredT6,T8,T9

 LINE       3086
 SUB-EXPRESSION (addr_hit[28] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T5
11CoveredT6,T8,T9

 LINE       3119
 EXPRESSION (addr_hit[0] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT116,T132,T135
111CoveredT1,T2,T3

 LINE       3136
 EXPRESSION (addr_hit[1] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT116,T130,T132
111CoveredT1,T2,T3

 LINE       3167
 EXPRESSION (addr_hit[2] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT6,T8,T9
110CoveredT116,T130,T132
111CoveredT65,T66,T136

 LINE       3198
 EXPRESSION (addr_hit[3] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT6,T8,T9
110CoveredT130,T132,T137
111CoveredT32,T107,T60

 LINE       3201
 EXPRESSION (addr_hit[4] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT116,T130,T132
111CoveredT1,T2,T3

 LINE       3208
 EXPRESSION (addr_hit[5] & reg_re & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT138,T139,T140
111CoveredT1,T2,T3

 LINE       3209
 EXPRESSION (addr_hit[6] & reg_re & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT4,T5,T6
110CoveredT138,T141
111CoveredT4,T5,T6

 LINE       3210
 EXPRESSION (addr_hit[7] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T4,T5
110CoveredT116,T130,T132
111CoveredT1,T4,T5

 LINE       3223
 EXPRESSION (addr_hit[8] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT116,T130,T135
111CoveredT1,T2,T3

 LINE       3232
 EXPRESSION (addr_hit[9] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT116,T130,T132
111CoveredT1,T2,T3

 LINE       3237
 EXPRESSION (addr_hit[10] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT129,T130,T131
111CoveredT1,T2,T3

 LINE       3244
 EXPRESSION (addr_hit[11] & reg_re & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT6,T8,T9
110CoveredT133,T142
111CoveredT6,T35,T94

 LINE       3245
 EXPRESSION (addr_hit[12] & reg_re & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT3,T6,T8
110CoveredT143,T144,T145
111CoveredT3,T8,T10

 LINE       3246
 EXPRESSION (addr_hit[13] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT6,T7,T8
110CoveredT116,T130,T132
111CoveredT7,T33,T34

 LINE       3253
 EXPRESSION (addr_hit[14] & reg_re & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT6,T8,T9
110CoveredT133,T146,T147
111Not Covered

 LINE       3254
 EXPRESSION (addr_hit[15] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT116,T130,T132
111CoveredT1,T2,T3

 LINE       3259
 EXPRESSION (addr_hit[16] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT116,T130,T132
111CoveredT1,T2,T3

 LINE       3264
 EXPRESSION (addr_hit[17] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT116,T132,T148
111CoveredT1,T2,T3

 LINE       3269
 EXPRESSION (addr_hit[18] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT116,T130,T132
111CoveredT1,T2,T3

 LINE       3274
 EXPRESSION (addr_hit[19] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT116,T130,T149
111CoveredT1,T2,T3

 LINE       3279
 EXPRESSION (addr_hit[20] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT116,T130,T148
111CoveredT1,T2,T3

 LINE       3284
 EXPRESSION (addr_hit[21] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT2,T3,T6
110CoveredT116,T135,T134
111CoveredT2,T3,T8

 LINE       3293
 EXPRESSION (addr_hit[22] & reg_re & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT2,T3,T6
110CoveredT134,T138,T139
111CoveredT2,T3,T8

 LINE       3294
 EXPRESSION (addr_hit[23] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT3,T6,T8
110CoveredT63,T130,T132
111CoveredT3,T8,T10

 LINE       3297
 EXPRESSION (addr_hit[24] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT2,T3,T6
110CoveredT116,T130,T131
111CoveredT2,T3,T8

 LINE       3300
 EXPRESSION (addr_hit[25] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT6,T8,T9
110CoveredT116,T63,T130
111CoveredT62,T63,T64

 LINE       3305
 EXPRESSION (addr_hit[26] & reg_re & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT6,T8,T9
110CoveredT150
111CoveredT62,T63,T64

 LINE       3308
 EXPRESSION (addr_hit[27] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT5,T6,T8
110CoveredT116,T130,T132
111CoveredT5,T68,T69

 LINE       3313
 EXPRESSION (addr_hit[28] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T5
110CoveredT116,T130,T137
111CoveredT1,T2,T5

Branch Coverage for Module : i2c_reg_top
Line No.TotalCoveredPercent
Branches 35 35 100.00
TERNARY 3082 2 2 100.00
IF 68 3 3 100.00
CASE 3356 30 30 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_reg_top.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_reg_top.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 3082 ((reg_re || reg_we)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 68 if ((!rst_ni)) -2-: 70 if ((intg_err || reg_we_err))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T117,T118,T119
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 3356 case (1'b1)

Branches:
-1-StatusTests
addr_hit[0] Covered T1,T2,T3
addr_hit[1] Covered T1,T2,T3
addr_hit[2] Covered T1,T2,T3
addr_hit[3] Covered T1,T2,T3
addr_hit[4] Covered T1,T2,T3
addr_hit[5] Covered T1,T2,T3
addr_hit[6] Covered T1,T2,T3
addr_hit[7] Covered T1,T2,T3
addr_hit[8] Covered T1,T2,T3
addr_hit[9] Covered T1,T2,T3
addr_hit[10] Covered T1,T2,T3
addr_hit[11] Covered T1,T2,T3
addr_hit[12] Covered T1,T2,T3
addr_hit[13] Covered T1,T2,T3
addr_hit[14] Covered T1,T2,T3
addr_hit[15] Covered T1,T2,T3
addr_hit[16] Covered T1,T2,T3
addr_hit[17] Covered T1,T2,T3
addr_hit[18] Covered T1,T2,T3
addr_hit[19] Covered T1,T2,T3
addr_hit[20] Covered T1,T2,T3
addr_hit[21] Covered T1,T2,T3
addr_hit[22] Covered T1,T2,T3
addr_hit[23] Covered T1,T2,T3
addr_hit[24] Covered T1,T2,T3
addr_hit[25] Covered T1,T2,T3
addr_hit[26] Covered T1,T2,T3
addr_hit[27] Covered T1,T2,T3
addr_hit[28] Covered T1,T2,T3
default Covered T1,T2,T3


Assert Coverage for Module : i2c_reg_top
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 4 4 100.00 4 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 4 4 100.00 4 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
en2addrHit 413837473 50190379 0 0
reAfterRv 413837473 50190210 0 0
rePulse 413837473 49286734 0 0
wePulse 413837473 903476 0 0


en2addrHit
NameAttemptsReal SuccessesFailuresIncomplete
Total 413837473 50190379 0 0
T1 6377 491 0 0
T2 155936 1677 0 0
T3 174779 22383 0 0
T4 90151 10627 0 0
T5 35665 4903 0 0
T6 279074 138077 0 0
T7 1726 42 0 0
T8 97115 766 0 0
T9 315453 156858 0 0
T10 34318 611 0 0

reAfterRv
NameAttemptsReal SuccessesFailuresIncomplete
Total 413837473 50190210 0 0
T1 6377 488 0 0
T2 155936 1677 0 0
T3 174779 22383 0 0
T4 90151 10627 0 0
T5 35665 4903 0 0
T6 279074 138077 0 0
T7 1726 42 0 0
T8 97115 766 0 0
T9 315453 156858 0 0
T10 34318 611 0 0

rePulse
NameAttemptsReal SuccessesFailuresIncomplete
Total 413837473 49286734 0 0
T1 6377 368 0 0
T2 155936 1651 0 0
T3 174779 21654 0 0
T4 90151 9803 0 0
T5 35665 4716 0 0
T6 279074 137040 0 0
T7 1726 20 0 0
T8 97115 503 0 0
T9 315453 155916 0 0
T10 34318 467 0 0

wePulse
NameAttemptsReal SuccessesFailuresIncomplete
Total 413837473 903476 0 0
T1 6377 120 0 0
T2 155936 26 0 0
T3 174779 729 0 0
T4 90151 824 0 0
T5 35665 187 0 0
T6 279074 1037 0 0
T7 1726 22 0 0
T8 97115 263 0 0
T9 315453 942 0 0
T10 34318 144 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%