Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.59 100.00 82.35 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.32 100.00 86.79 98.51 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
80.00 100.00 100.00 40.00 u_fifos


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_inp_buf 94.32 100.00 81.82 95.45 100.00
u_oup_buf 97.73 100.00 90.91 100.00 100.00
u_sram_ptrs 100.00 100.00 100.00 100.00



Module Instance : tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.59 100.00 82.35 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.17 100.00 86.16 98.51 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
80.00 100.00 100.00 40.00 u_fifos


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_inp_buf 94.32 100.00 81.82 95.45 100.00
u_oup_buf 97.16 100.00 88.64 100.00 100.00
u_sram_ptrs 100.00 100.00 100.00 100.00



Module Instance : tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.59 100.00 82.35 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.32 100.00 86.79 98.51 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
80.00 100.00 100.00 40.00 u_fifos


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_inp_buf 94.32 100.00 81.82 95.45 100.00
u_oup_buf 97.73 100.00 90.91 100.00 100.00
u_sram_ptrs 100.00 100.00 100.00 100.00



Module Instance : tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.08 100.00 84.31 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.32 100.00 86.79 98.51 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
80.00 100.00 100.00 40.00 u_fifos


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_inp_buf 94.32 100.00 81.82 95.45 100.00
u_oup_buf 97.16 100.00 88.64 100.00 100.00
u_sram_ptrs 100.00 100.00 100.00 100.00

Line Coverage for Module : i2c_fifo_sync_sram_adapter ( parameter Width=13,Depth=64,SramAw=9,SramBaseAddr,DepthW=7,OupBufDepth=2,InpBufDepthW=2,OupBufDepthW=2,SramPtrW=6,SramDepthW=6,SramAddrLeadingZeros=3 )
Line Coverage for Module self-instances :
SCORELINE
95.59 100.00
tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter

SCORELINE
96.08 100.00
tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter

SCORELINE
95.59 100.00
tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter

Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
153 1 1
154 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Line Coverage for Module : i2c_fifo_sync_sram_adapter ( parameter Width=13,Depth=268,SramAw=9,SramBaseAddr=192,DepthW=9,OupBufDepth=2,InpBufDepthW=2,OupBufDepthW=2,SramPtrW=9,SramDepthW=9,SramAddrLeadingZeros=0 )
Line Coverage for Module self-instances :
SCORELINE
95.59 100.00
tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter

Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15611100.00
CONT_ASSIGN15711100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
156 1 1
157 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Cond Coverage for Module : i2c_fifo_sync_sram_adapter
TotalCoveredPercent
Conditions514384.31
Logical514384.31
Non-Logical00
Event00

 LINE       120
 EXPRESSION (fifo_wvalid_i && fifo_wready_o)
             ------1------    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T54,T28
11CoveredT1,T2,T3

 LINE       125
 EXPRESSION (sram_req_o && sram_gnt_i)
             -----1----    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT63,T68,T66
11CoveredT1,T2,T3

 LINE       150
 EXPRESSION (sram_access && sram_write_o)
             -----1-----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       151
 EXPRESSION (sram_access && ((!sram_write_o)))
             -----1-----    --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       162
 EXPRESSION (clr_i ? 1'b0 : sram_incr_rd_ptr)
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION (( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) ) || (fifo_rvalid_o && fifo_rready_i))
             -------------------------------1-------------------------------    ----------------2---------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT127,T128,T129

 LINE       196
 SUB-EXPRESSION ( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) )
                    ----------------------------1----------------------------
-1-StatusTests
0CoveredT127,T128,T129
1CoveredT1,T2,T3

 LINE       196
 SUB-EXPRESSION ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)
                 -------------------1-------------------    ------2-----
-1--2-StatusTests
00CoveredT127,T128,T129
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       196
 SUB-EXPRESSION (oup_buf_almost_full && oup_buf_wvalid)
                 ---------1---------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       196
 SUB-EXPRESSION (fifo_rvalid_o && fifo_rready_i)
                 ------1------    ------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       204
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       207
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       212
 EXPRESSION (oup_buf_wready && ((!sram_read_in_prev_cyc_q)))
             -------1------    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       220
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       223
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       236
 EXPRESSION (inp_buf_wready && ( ! (sram_full && oup_buf_full) ))
             -------1------    ----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T7
11CoveredT1,T2,T3

 LINE       236
 SUB-EXPRESSION ( ! (sram_full && oup_buf_full) )
                    -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T7

 LINE       236
 SUB-EXPRESSION (sram_full && oup_buf_full)
                 ----1----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT2,T4,T7

Branch Coverage for Module : i2c_fifo_sync_sram_adapter
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 162 2 2 100.00
IF 164 2 2 100.00
IF 183 2 2 100.00
IF 191 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 162 (clr_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 183 if (sram_read_in_prev_cyc_q)

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 191 if ((!sram_empty)) -2-: 196 if (((!((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)) || (fifo_rvalid_o && fifo_rready_i))) -3-: 212 if ((oup_buf_wready && (!sram_read_in_prev_cyc_q)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T1,T2,T3
1 0 - Covered T1,T2,T3
0 - 1 Covered T1,T2,T3
0 - 0 Covered T1,T2,T3


Assert Coverage for Module : i2c_fifo_sync_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinimalSramAw_A 5556 5556 0 0
MinimalSramFifoDepth_A 5556 5556 0 0
NoErr_A 1650880616 1650206796 0 0
NoSramReadWhenEmpty_A 1650880616 1301722088 0 0
NoSramWriteWhenFull_A 1650880616 19057001 0 0
OupBufWreadyAfterSramRead_A 1650880616 681235 0 0
SramRvalidAfterRead_A 1650880616 681235 0 0


MinimalSramAw_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 5556 5556 0 0
T1 4 4 0 0
T2 4 4 0 0
T3 4 4 0 0
T4 4 4 0 0
T5 4 4 0 0
T6 4 4 0 0
T7 4 4 0 0
T8 4 4 0 0
T9 4 4 0 0
T10 4 4 0 0

MinimalSramFifoDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 5556 5556 0 0
T1 4 4 0 0
T2 4 4 0 0
T3 4 4 0 0
T4 4 4 0 0
T5 4 4 0 0
T6 4 4 0 0
T7 4 4 0 0
T8 4 4 0 0
T9 4 4 0 0
T10 4 4 0 0

NoErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1650880616 1650206796 0 0
T1 406844 406568 0 0
T2 417564 417064 0 0
T3 142056 141728 0 0
T4 2072860 2072496 0 0
T5 554664 554388 0 0
T6 152320 152008 0 0
T7 659484 659252 0 0
T8 190200 189828 0 0
T9 271280 271004 0 0
T10 2991412 2991120 0 0

NoSramReadWhenEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1650880616 1301722088 0 0
T1 406844 383997 0 0
T2 417564 1386179 0 0
T3 142056 134466 0 0
T4 2072860 1465230 0 0
T5 554664 459311 0 0
T6 152320 123288 0 0
T7 659484 509230 0 0
T8 190200 163542 0 0
T9 271280 225324 0 0
T10 2991412 2383645 0 0

NoSramWriteWhenFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1650880616 19057001 0 0
T2 208782 89753 0 0
T3 71028 0 0 0
T4 1554645 31503 0 0
T5 415998 0 0 0
T6 114240 0 0 0
T7 494613 104897 0 0
T8 142650 0 0 0
T9 203460 0 0 0
T10 2243559 0 0 0
T14 166144 21 0 0
T15 0 8 0 0
T21 290043 0 0 0
T23 145884 0 0 0
T28 0 62521 0 0
T29 0 371074 0 0
T43 0 428 0 0
T44 0 118 0 0
T50 0 10241 0 0
T51 0 118 0 0
T53 0 12 0 0
T54 0 2494 0 0
T87 0 5390 0 0
T103 0 24967 0 0
T110 2176 0 0 0
T130 0 3317 0 0
T131 0 3983 0 0
T132 0 5222 0 0
T133 0 98285 0 0
T134 0 4366 0 0
T135 0 8860 0 0
T136 440680 0 0 0
T137 8238 0 0 0
T138 110212 0 0 0
T139 5104 0 0 0
T140 259838 0 0 0
T141 66389 0 0 0
T142 132079 0 0 0
T143 26642 0 0 0

OupBufWreadyAfterSramRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1650880616 681235 0 0
T1 101711 25 0 0
T2 208782 4519 0 0
T3 106542 0 0 0
T4 2072860 512 0 0
T5 554664 256 0 0
T6 152320 81 0 0
T7 659484 259 0 0
T8 190200 96 0 0
T9 271280 199 0 0
T10 2991412 83 0 0
T11 966598 504 0 0
T16 0 731 0 0
T21 290043 130 0 0
T23 291768 0 0 0
T28 0 4674 0 0
T43 0 1500 0 0
T44 0 1375 0 0
T51 0 1375 0 0
T52 0 85 0 0
T54 0 682 0 0
T117 0 620 0 0
T120 0 30 0 0
T144 0 128 0 0

SramRvalidAfterRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1650880616 681235 0 0
T1 101711 25 0 0
T2 208782 4519 0 0
T3 106542 0 0 0
T4 2072860 512 0 0
T5 554664 256 0 0
T6 152320 81 0 0
T7 659484 259 0 0
T8 190200 96 0 0
T9 271280 199 0 0
T10 2991412 83 0 0
T11 966598 504 0 0
T16 0 731 0 0
T21 290043 130 0 0
T23 291768 0 0 0
T28 0 4674 0 0
T43 0 1500 0 0
T44 0 1375 0 0
T51 0 1375 0 0
T52 0 85 0 0
T54 0 682 0 0
T117 0 620 0 0
T120 0 30 0 0
T144 0 128 0 0

Line Coverage for Instance : tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter
Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
153 1 1
154 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Cond Coverage for Instance : tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter
TotalCoveredPercent
Conditions514282.35
Logical514282.35
Non-Logical00
Event00

 LINE       120
 EXPRESSION (fifo_wvalid_i && fifo_wready_o)
             ------1------    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T7

 LINE       125
 EXPRESSION (sram_req_o && sram_gnt_i)
             -----1----    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT63,T64,T65
11CoveredT1,T2,T7

 LINE       150
 EXPRESSION (sram_access && sram_write_o)
             -----1-----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T7
11CoveredT1,T2,T7

 LINE       151
 EXPRESSION (sram_access && ((!sram_write_o)))
             -----1-----    --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T7
11CoveredT1,T2,T7

 LINE       162
 EXPRESSION (clr_i ? 1'b0 : sram_incr_rd_ptr)
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION (( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) ) || (fifo_rvalid_o && fifo_rready_i))
             -------------------------------1-------------------------------    ----------------2---------------
-1--2-StatusTests
00CoveredT1,T2,T7
01CoveredT1,T2,T7
10CoveredT64,T65,T145

 LINE       196
 SUB-EXPRESSION ( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) )
                    ----------------------------1----------------------------
-1-StatusTests
0CoveredT64,T65,T145
1CoveredT1,T2,T7

 LINE       196
 SUB-EXPRESSION ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)
                 -------------------1-------------------    ------2-----
-1--2-StatusTests
00CoveredT64,T65,T145
01CoveredT1,T2,T7
10CoveredT1,T2,T7

 LINE       196
 SUB-EXPRESSION (oup_buf_almost_full && oup_buf_wvalid)
                 ---------1---------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T7
11CoveredT1,T2,T7

 LINE       196
 SUB-EXPRESSION (fifo_rvalid_o && fifo_rready_i)
                 ------1------    ------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T7
11CoveredT1,T2,T7

 LINE       204
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T7
11CoveredT1,T2,T7

 LINE       207
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T7
11CoveredT1,T2,T7

 LINE       212
 EXPRESSION (oup_buf_wready && ((!sram_read_in_prev_cyc_q)))
             -------1------    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T7
11CoveredT1,T2,T3

 LINE       220
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T7

 LINE       223
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T7

 LINE       236
 EXPRESSION (inp_buf_wready && ( ! (sram_full && oup_buf_full) ))
             -------1------    ----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T7,T28
11CoveredT1,T2,T3

 LINE       236
 SUB-EXPRESSION ( ! (sram_full && oup_buf_full) )
                    -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T7,T28

 LINE       236
 SUB-EXPRESSION (sram_full && oup_buf_full)
                 ----1----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T7
10Not Covered
11CoveredT2,T7,T28

Branch Coverage for Instance : tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 162 2 2 100.00
IF 164 2 2 100.00
IF 183 2 2 100.00
IF 191 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 162 (clr_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 183 if (sram_read_in_prev_cyc_q)

Branches:
-1-StatusTests
1 Covered T1,T2,T7
0 Covered T1,T2,T3


LineNo. Expression -1-: 191 if ((!sram_empty)) -2-: 196 if (((!((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)) || (fifo_rvalid_o && fifo_rready_i))) -3-: 212 if ((oup_buf_wready && (!sram_read_in_prev_cyc_q)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T1,T2,T7
1 0 - Covered T1,T2,T7
0 - 1 Covered T1,T2,T3
0 - 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinimalSramAw_A 1389 1389 0 0
MinimalSramFifoDepth_A 1389 1389 0 0
NoErr_A 412720154 412551699 0 0
NoSramReadWhenEmpty_A 412720154 352182550 0 0
NoSramWriteWhenFull_A 412720154 13386016 0 0
OupBufWreadyAfterSramRead_A 412720154 165591 0 0
SramRvalidAfterRead_A 412720154 165591 0 0


MinimalSramAw_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1389 1389 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

MinimalSramFifoDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1389 1389 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

NoErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 412720154 412551699 0 0
T1 101711 101642 0 0
T2 104391 104266 0 0
T3 35514 35432 0 0
T4 518215 518124 0 0
T5 138666 138597 0 0
T6 38080 38002 0 0
T7 164871 164813 0 0
T8 47550 47457 0 0
T9 67820 67751 0 0
T10 747853 747780 0 0

NoSramReadWhenEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 412720154 352182550 0 0
T1 101711 79071 0 0
T2 104391 839449 0 0
T3 35514 35432 0 0
T4 518215 518124 0 0
T5 138666 138597 0 0
T6 38080 38002 0 0
T7 164871 14791 0 0
T8 47550 21171 0 0
T9 67820 67751 0 0
T10 747853 747780 0 0

NoSramWriteWhenFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 412720154 13386016 0 0
T2 104391 78334 0 0
T3 35514 0 0 0
T4 518215 0 0 0
T5 138666 0 0 0
T6 38080 0 0 0
T7 164871 104897 0 0
T8 47550 0 0 0
T9 67820 0 0 0
T10 747853 0 0 0
T21 96681 0 0 0
T28 0 60202 0 0
T29 0 371074 0 0
T50 0 10241 0 0
T103 0 24967 0 0
T132 0 5222 0 0
T133 0 98285 0 0
T134 0 4366 0 0
T135 0 8860 0 0

OupBufWreadyAfterSramRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 412720154 165591 0 0
T1 101711 25 0 0
T2 104391 857 0 0
T3 35514 0 0 0
T4 518215 0 0 0
T5 138666 0 0 0
T6 38080 0 0 0
T7 164871 259 0 0
T8 47550 96 0 0
T9 67820 0 0 0
T10 747853 0 0 0
T28 0 2194 0 0
T43 0 756 0 0
T44 0 693 0 0
T51 0 693 0 0
T52 0 85 0 0
T120 0 30 0 0

SramRvalidAfterRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 412720154 165591 0 0
T1 101711 25 0 0
T2 104391 857 0 0
T3 35514 0 0 0
T4 518215 0 0 0
T5 138666 0 0 0
T6 38080 0 0 0
T7 164871 259 0 0
T8 47550 96 0 0
T9 67820 0 0 0
T10 747853 0 0 0
T28 0 2194 0 0
T43 0 756 0 0
T44 0 693 0 0
T51 0 693 0 0
T52 0 85 0 0
T120 0 30 0 0

Line Coverage for Instance : tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter
Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
153 1 1
154 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Cond Coverage for Instance : tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter
TotalCoveredPercent
Conditions514282.35
Logical514282.35
Non-Logical00
Event00

 LINE       120
 EXPRESSION (fifo_wvalid_i && fifo_wready_o)
             ------1------    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT3,T4,T5

 LINE       125
 EXPRESSION (sram_req_o && sram_gnt_i)
             -----1----    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT68,T69,T70
11CoveredT3,T4,T5

 LINE       150
 EXPRESSION (sram_access && sram_write_o)
             -----1-----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T4,T5
11CoveredT3,T4,T5

 LINE       151
 EXPRESSION (sram_access && ((!sram_write_o)))
             -----1-----    --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T4,T5
11CoveredT3,T4,T5

 LINE       162
 EXPRESSION (clr_i ? 1'b0 : sram_incr_rd_ptr)
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION (( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) ) || (fifo_rvalid_o && fifo_rready_i))
             -------------------------------1-------------------------------    ----------------2---------------
-1--2-StatusTests
00CoveredT3,T4,T5
01CoveredT3,T4,T5
10CoveredT146,T147

 LINE       196
 SUB-EXPRESSION ( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) )
                    ----------------------------1----------------------------
-1-StatusTests
0CoveredT146,T147
1CoveredT3,T4,T5

 LINE       196
 SUB-EXPRESSION ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)
                 -------------------1-------------------    ------2-----
-1--2-StatusTests
00CoveredT146,T147
01CoveredT3,T4,T5
10CoveredT3,T4,T5

 LINE       196
 SUB-EXPRESSION (oup_buf_almost_full && oup_buf_wvalid)
                 ---------1---------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT3,T4,T5
11CoveredT3,T4,T5

 LINE       196
 SUB-EXPRESSION (fifo_rvalid_o && fifo_rready_i)
                 ------1------    ------2------
-1--2-StatusTests
01Not Covered
10CoveredT3,T4,T5
11CoveredT3,T4,T5

 LINE       204
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT3,T4,T5
11CoveredT3,T4,T5

 LINE       207
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT3,T4,T5
11CoveredT3,T4,T5

 LINE       212
 EXPRESSION (oup_buf_wready && ((!sram_read_in_prev_cyc_q)))
             -------1------    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T4,T5
11CoveredT1,T2,T3

 LINE       220
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT3,T4,T5

 LINE       223
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT3,T4,T5

 LINE       236
 EXPRESSION (inp_buf_wready && ( ! (sram_full && oup_buf_full) ))
             -------1------    ----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T23,T11
11CoveredT1,T2,T3

 LINE       236
 SUB-EXPRESSION ( ! (sram_full && oup_buf_full) )
                    -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T23,T11

 LINE       236
 SUB-EXPRESSION (sram_full && oup_buf_full)
                 ----1----    ------2-----
-1--2-StatusTests
01CoveredT3,T4,T5
10Not Covered
11CoveredT4,T23,T11

Branch Coverage for Instance : tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 162 2 2 100.00
IF 164 2 2 100.00
IF 183 2 2 100.00
IF 191 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 162 (clr_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 183 if (sram_read_in_prev_cyc_q)

Branches:
-1-StatusTests
1 Covered T3,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 191 if ((!sram_empty)) -2-: 196 if (((!((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)) || (fifo_rvalid_o && fifo_rready_i))) -3-: 212 if ((oup_buf_wready && (!sram_read_in_prev_cyc_q)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T3,T4,T5
1 0 - Covered T3,T4,T5
0 - 1 Covered T1,T2,T3
0 - 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinimalSramAw_A 1389 1389 0 0
MinimalSramFifoDepth_A 1389 1389 0 0
NoErr_A 412720154 412551699 0 0
NoSramReadWhenEmpty_A 412720154 347732048 0 0
NoSramWriteWhenFull_A 412720154 4912192 0 0
OupBufWreadyAfterSramRead_A 412720154 167246 0 0
SramRvalidAfterRead_A 412720154 167246 0 0


MinimalSramAw_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1389 1389 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

MinimalSramFifoDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1389 1389 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

NoErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 412720154 412551699 0 0
T1 101711 101642 0 0
T2 104391 104266 0 0
T3 35514 35432 0 0
T4 518215 518124 0 0
T5 138666 138597 0 0
T6 38080 38002 0 0
T7 164871 164813 0 0
T8 47550 47457 0 0
T9 67820 67751 0 0
T10 747853 747780 0 0

NoSramReadWhenEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 412720154 347732048 0 0
T1 101711 101642 0 0
T2 104391 104266 0 0
T3 35514 28170 0 0
T4 518215 25305 0 0
T5 138666 89087 0 0
T6 38080 22426 0 0
T7 164871 164813 0 0
T8 47550 47457 0 0
T9 67820 52843 0 0
T10 747853 726737 0 0

NoSramWriteWhenFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 412720154 4912192 0 0
T4 518215 31503 0 0
T5 138666 0 0 0
T6 38080 0 0 0
T7 164871 0 0 0
T8 47550 0 0 0
T9 67820 0 0 0
T10 747853 0 0 0
T11 966598 11769 0 0
T21 96681 0 0 0
T23 145884 66343 0 0
T62 0 2562 0 0
T119 0 72151 0 0
T121 0 403 0 0
T122 0 109597 0 0
T148 0 50071 0 0
T149 0 779 0 0
T150 0 66547 0 0

OupBufWreadyAfterSramRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 412720154 167246 0 0
T3 35514 37 0 0
T4 518215 915 0 0
T5 138666 248 0 0
T6 38080 98 0 0
T7 164871 0 0 0
T8 47550 0 0 0
T9 67820 112 0 0
T10 747853 52 0 0
T11 0 1658 0 0
T21 96681 96 0 0
T23 145884 241 0 0
T24 0 90 0 0

SramRvalidAfterRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 412720154 167246 0 0
T3 35514 37 0 0
T4 518215 915 0 0
T5 138666 248 0 0
T6 38080 98 0 0
T7 164871 0 0 0
T8 47550 0 0 0
T9 67820 112 0 0
T10 747853 52 0 0
T11 0 1658 0 0
T21 96681 96 0 0
T23 145884 241 0 0
T24 0 90 0 0

Line Coverage for Instance : tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter
Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15611100.00
CONT_ASSIGN15711100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
156 1 1
157 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Cond Coverage for Instance : tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter
TotalCoveredPercent
Conditions514282.35
Logical514282.35
Non-Logical00
Event00

 LINE       120
 EXPRESSION (fifo_wvalid_i && fifo_wready_o)
             ------1------    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT14,T15
11CoveredT3,T4,T5

 LINE       125
 EXPRESSION (sram_req_o && sram_gnt_i)
             -----1----    -----2----
-1--2-StatusTests
01Not Covered
10Not Covered
11CoveredT4,T5,T6

 LINE       150
 EXPRESSION (sram_access && sram_write_o)
             -----1-----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T5,T6
11CoveredT4,T5,T6

 LINE       151
 EXPRESSION (sram_access && ((!sram_write_o)))
             -----1-----    --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T5,T6
11CoveredT4,T5,T6

 LINE       162
 EXPRESSION (clr_i ? 1'b0 : sram_incr_rd_ptr)
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION (( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) ) || (fifo_rvalid_o && fifo_rready_i))
             -------------------------------1-------------------------------    ----------------2---------------
-1--2-StatusTests
00CoveredT4,T5,T6
01CoveredT4,T5,T6
10CoveredT127,T128,T129

 LINE       196
 SUB-EXPRESSION ( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) )
                    ----------------------------1----------------------------
-1-StatusTests
0CoveredT127,T128,T129
1CoveredT4,T5,T6

 LINE       196
 SUB-EXPRESSION ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)
                 -------------------1-------------------    ------2-----
-1--2-StatusTests
00CoveredT127,T128,T129
01CoveredT4,T5,T6
10CoveredT4,T5,T6

 LINE       196
 SUB-EXPRESSION (oup_buf_almost_full && oup_buf_wvalid)
                 ---------1---------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T6
11CoveredT4,T5,T6

 LINE       196
 SUB-EXPRESSION (fifo_rvalid_o && fifo_rready_i)
                 ------1------    ------2------
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T6
11CoveredT4,T5,T6

 LINE       204
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T6
11CoveredT4,T5,T6

 LINE       207
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T6
11CoveredT4,T5,T6

 LINE       212
 EXPRESSION (oup_buf_wready && ((!sram_read_in_prev_cyc_q)))
             -------1------    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T5,T6
11CoveredT1,T2,T3

 LINE       220
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT4,T5,T6

 LINE       223
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT4,T5,T6

 LINE       236
 EXPRESSION (inp_buf_wready && ( ! (sram_full && oup_buf_full) ))
             -------1------    ----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT14,T15
11CoveredT1,T2,T3

 LINE       236
 SUB-EXPRESSION ( ! (sram_full && oup_buf_full) )
                    -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT14,T15

 LINE       236
 SUB-EXPRESSION (sram_full && oup_buf_full)
                 ----1----    ------2-----
-1--2-StatusTests
01CoveredT4,T5,T6
10Not Covered
11CoveredT14,T15

Branch Coverage for Instance : tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 162 2 2 100.00
IF 164 2 2 100.00
IF 183 2 2 100.00
IF 191 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 162 (clr_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 183 if (sram_read_in_prev_cyc_q)

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T1,T2,T3


LineNo. Expression -1-: 191 if ((!sram_empty)) -2-: 196 if (((!((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)) || (fifo_rvalid_o && fifo_rready_i))) -3-: 212 if ((oup_buf_wready && (!sram_read_in_prev_cyc_q)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T4,T5,T6
1 0 - Covered T4,T5,T6
0 - 1 Covered T1,T2,T3
0 - 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinimalSramAw_A 1389 1389 0 0
MinimalSramFifoDepth_A 1389 1389 0 0
NoErr_A 412720154 412551699 0 0
NoSramReadWhenEmpty_A 412720154 226901325 0 0
NoSramWriteWhenFull_A 412720154 29 0 0
OupBufWreadyAfterSramRead_A 412720154 163726 0 0
SramRvalidAfterRead_A 412720154 163726 0 0


MinimalSramAw_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1389 1389 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

MinimalSramFifoDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1389 1389 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

NoErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 412720154 412551699 0 0
T1 101711 101642 0 0
T2 104391 104266 0 0
T3 35514 35432 0 0
T4 518215 518124 0 0
T5 138666 138597 0 0
T6 38080 38002 0 0
T7 164871 164813 0 0
T8 47550 47457 0 0
T9 67820 67751 0 0
T10 747853 747780 0 0

NoSramReadWhenEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 412720154 226901325 0 0
T1 101711 101642 0 0
T2 104391 104266 0 0
T3 35514 35432 0 0
T4 518215 403677 0 0
T5 138666 93030 0 0
T6 38080 24858 0 0
T7 164871 164813 0 0
T8 47550 47457 0 0
T9 67820 36979 0 0
T10 747853 161348 0 0

NoSramWriteWhenFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 412720154 29 0 0
T14 166144 21 0 0
T15 0 8 0 0
T110 2176 0 0 0
T136 440680 0 0 0
T137 8238 0 0 0
T138 110212 0 0 0
T139 5104 0 0 0
T140 259838 0 0 0
T141 66389 0 0 0
T142 132079 0 0 0
T143 26642 0 0 0

OupBufWreadyAfterSramRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 412720154 163726 0 0
T4 518215 512 0 0
T5 138666 256 0 0
T6 38080 81 0 0
T7 164871 0 0 0
T8 47550 0 0 0
T9 67820 199 0 0
T10 747853 83 0 0
T11 966598 504 0 0
T16 0 731 0 0
T21 96681 130 0 0
T23 145884 0 0 0
T117 0 620 0 0
T144 0 128 0 0

SramRvalidAfterRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 412720154 163726 0 0
T4 518215 512 0 0
T5 138666 256 0 0
T6 38080 81 0 0
T7 164871 0 0 0
T8 47550 0 0 0
T9 67820 199 0 0
T10 747853 83 0 0
T11 966598 504 0 0
T16 0 731 0 0
T21 96681 130 0 0
T23 145884 0 0 0
T117 0 620 0 0
T144 0 128 0 0

Line Coverage for Instance : tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter
Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
153 1 1
154 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Cond Coverage for Instance : tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter
TotalCoveredPercent
Conditions514384.31
Logical514384.31
Non-Logical00
Event00

 LINE       120
 EXPRESSION (fifo_wvalid_i && fifo_wready_o)
             ------1------    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T54,T28
11CoveredT1,T2,T7

 LINE       125
 EXPRESSION (sram_req_o && sram_gnt_i)
             -----1----    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT66,T67
11CoveredT2,T51,T43

 LINE       150
 EXPRESSION (sram_access && sram_write_o)
             -----1-----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T51,T43
11CoveredT2,T51,T43

 LINE       151
 EXPRESSION (sram_access && ((!sram_write_o)))
             -----1-----    --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T51,T43
11CoveredT2,T51,T43

 LINE       162
 EXPRESSION (clr_i ? 1'b0 : sram_incr_rd_ptr)
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION (( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) ) || (fifo_rvalid_o && fifo_rready_i))
             -------------------------------1-------------------------------    ----------------2---------------
-1--2-StatusTests
00CoveredT2,T51,T43
01CoveredT2,T51,T43
10CoveredT66,T67

 LINE       196
 SUB-EXPRESSION ( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) )
                    ----------------------------1----------------------------
-1-StatusTests
0CoveredT66,T67
1CoveredT2,T51,T43

 LINE       196
 SUB-EXPRESSION ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)
                 -------------------1-------------------    ------2-----
-1--2-StatusTests
00CoveredT66,T67
01CoveredT2,T51,T43
10CoveredT2,T51,T43

 LINE       196
 SUB-EXPRESSION (oup_buf_almost_full && oup_buf_wvalid)
                 ---------1---------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT2,T51,T43
11CoveredT2,T51,T43

 LINE       196
 SUB-EXPRESSION (fifo_rvalid_o && fifo_rready_i)
                 ------1------    ------2------
-1--2-StatusTests
01Not Covered
10CoveredT2,T51,T43
11CoveredT2,T51,T43

 LINE       204
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT2,T51,T43
11CoveredT2,T51,T43

 LINE       207
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT2,T51,T43
11CoveredT2,T51,T43

 LINE       212
 EXPRESSION (oup_buf_wready && ((!sram_read_in_prev_cyc_q)))
             -------1------    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T51,T43
11CoveredT1,T2,T3

 LINE       220
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT2,T51,T43

 LINE       223
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT2,T51,T43

 LINE       236
 EXPRESSION (inp_buf_wready && ( ! (sram_full && oup_buf_full) ))
             -------1------    ----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T51,T43
11CoveredT1,T2,T3

 LINE       236
 SUB-EXPRESSION ( ! (sram_full && oup_buf_full) )
                    -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T51,T43

 LINE       236
 SUB-EXPRESSION (sram_full && oup_buf_full)
                 ----1----    ------2-----
-1--2-StatusTests
01CoveredT2,T51,T43
10Not Covered
11CoveredT2,T51,T43

Branch Coverage for Instance : tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 162 2 2 100.00
IF 164 2 2 100.00
IF 183 2 2 100.00
IF 191 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 162 (clr_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 183 if (sram_read_in_prev_cyc_q)

Branches:
-1-StatusTests
1 Covered T2,T51,T43
0 Covered T1,T2,T3


LineNo. Expression -1-: 191 if ((!sram_empty)) -2-: 196 if (((!((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)) || (fifo_rvalid_o && fifo_rready_i))) -3-: 212 if ((oup_buf_wready && (!sram_read_in_prev_cyc_q)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T2,T51,T43
1 0 - Covered T2,T51,T43
0 - 1 Covered T1,T2,T3
0 - 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinimalSramAw_A 1389 1389 0 0
MinimalSramFifoDepth_A 1389 1389 0 0
NoErr_A 412720154 412551699 0 0
NoSramReadWhenEmpty_A 412720154 374906165 0 0
NoSramWriteWhenFull_A 412720154 758764 0 0
OupBufWreadyAfterSramRead_A 412720154 184672 0 0
SramRvalidAfterRead_A 412720154 184672 0 0


MinimalSramAw_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1389 1389 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

MinimalSramFifoDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1389 1389 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

NoErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 412720154 412551699 0 0
T1 101711 101642 0 0
T2 104391 104266 0 0
T3 35514 35432 0 0
T4 518215 518124 0 0
T5 138666 138597 0 0
T6 38080 38002 0 0
T7 164871 164813 0 0
T8 47550 47457 0 0
T9 67820 67751 0 0
T10 747853 747780 0 0

NoSramReadWhenEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 412720154 374906165 0 0
T1 101711 101642 0 0
T2 104391 338198 0 0
T3 35514 35432 0 0
T4 518215 518124 0 0
T5 138666 138597 0 0
T6 38080 38002 0 0
T7 164871 164813 0 0
T8 47550 47457 0 0
T9 67820 67751 0 0
T10 747853 747780 0 0

NoSramWriteWhenFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 412720154 758764 0 0
T2 104391 11419 0 0
T3 35514 0 0 0
T4 518215 0 0 0
T5 138666 0 0 0
T6 38080 0 0 0
T7 164871 0 0 0
T8 47550 0 0 0
T9 67820 0 0 0
T10 747853 0 0 0
T21 96681 0 0 0
T28 0 2319 0 0
T43 0 428 0 0
T44 0 118 0 0
T51 0 118 0 0
T53 0 12 0 0
T54 0 2494 0 0
T87 0 5390 0 0
T130 0 3317 0 0
T131 0 3983 0 0

OupBufWreadyAfterSramRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 412720154 184672 0 0
T2 104391 3662 0 0
T3 35514 0 0 0
T4 518215 0 0 0
T5 138666 0 0 0
T6 38080 0 0 0
T7 164871 0 0 0
T8 47550 0 0 0
T9 67820 0 0 0
T10 747853 0 0 0
T21 96681 0 0 0
T28 0 2480 0 0
T43 0 744 0 0
T44 0 682 0 0
T51 0 682 0 0
T54 0 682 0 0
T87 0 3596 0 0
T130 0 930 0 0
T131 0 1178 0 0
T151 0 806 0 0

SramRvalidAfterRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 412720154 184672 0 0
T2 104391 3662 0 0
T3 35514 0 0 0
T4 518215 0 0 0
T5 138666 0 0 0
T6 38080 0 0 0
T7 164871 0 0 0
T8 47550 0 0 0
T9 67820 0 0 0
T10 747853 0 0 0
T21 96681 0 0 0
T28 0 2480 0 0
T43 0 744 0 0
T44 0 682 0 0
T51 0 682 0 0
T54 0 682 0 0
T87 0 3596 0 0
T130 0 930 0 0
T131 0 1178 0 0
T151 0 806 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%