Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
93.63 100.00 74.51 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.54 100.00 83.65 98.51 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
80.00 100.00 100.00 40.00 u_fifos


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_inp_buf 94.32 100.00 81.82 95.45 100.00
u_oup_buf 97.16 100.00 88.64 100.00 100.00
u_sram_ptrs 100.00 100.00 100.00 100.00



Module Instance : tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.59 100.00 82.35 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.32 100.00 86.79 98.51 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
80.00 100.00 100.00 40.00 u_fifos


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_inp_buf 94.32 100.00 81.82 95.45 100.00
u_oup_buf 97.73 100.00 90.91 100.00 100.00
u_sram_ptrs 100.00 100.00 100.00 100.00



Module Instance : tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.59 100.00 82.35 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.17 100.00 86.16 98.51 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
80.00 100.00 100.00 40.00 u_fifos


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_inp_buf 94.32 100.00 81.82 95.45 100.00
u_oup_buf 97.16 100.00 88.64 100.00 100.00
u_sram_ptrs 100.00 100.00 100.00 100.00



Module Instance : tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.08 100.00 84.31 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.32 100.00 86.79 98.51 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
80.00 100.00 100.00 40.00 u_fifos


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_inp_buf 94.32 100.00 81.82 95.45 100.00
u_oup_buf 97.16 100.00 88.64 100.00 100.00
u_sram_ptrs 100.00 100.00 100.00 100.00

Line Coverage for Module : i2c_fifo_sync_sram_adapter ( parameter Width=13,Depth=64,SramAw=9,SramBaseAddr,DepthW=7,OupBufDepth=2,InpBufDepthW=2,OupBufDepthW=2,SramPtrW=6,SramDepthW=6,SramAddrLeadingZeros=3 )
Line Coverage for Module self-instances :
SCORELINE
95.59 100.00
tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter

SCORELINE
96.08 100.00
tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter

SCORELINE
93.63 100.00
tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter

Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
153 1 1
154 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Line Coverage for Module : i2c_fifo_sync_sram_adapter ( parameter Width=13,Depth=268,SramAw=9,SramBaseAddr=192,DepthW=9,OupBufDepth=2,InpBufDepthW=2,OupBufDepthW=2,SramPtrW=9,SramDepthW=9,SramAddrLeadingZeros=0 )
Line Coverage for Module self-instances :
SCORELINE
95.59 100.00
tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter

Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15611100.00
CONT_ASSIGN15711100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
156 1 1
157 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Cond Coverage for Module : i2c_fifo_sync_sram_adapter
TotalCoveredPercent
Conditions514384.31
Logical514384.31
Non-Logical00
Event00

 LINE       120
 EXPRESSION (fifo_wvalid_i && fifo_wready_o)
             ------1------    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT31,T32,T33
11CoveredT1,T3,T4

 LINE       125
 EXPRESSION (sram_req_o && sram_gnt_i)
             -----1----    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT74,T75,T76
11CoveredT1,T3,T4

 LINE       150
 EXPRESSION (sram_access && sram_write_o)
             -----1-----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T4,T5
11CoveredT1,T3,T4

 LINE       151
 EXPRESSION (sram_access && ((!sram_write_o)))
             -----1-----    --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T4
11CoveredT3,T4,T5

 LINE       162
 EXPRESSION (clr_i ? 1'b0 : sram_incr_rd_ptr)
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       196
 EXPRESSION (( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) ) || (fifo_rvalid_o && fifo_rready_i))
             -------------------------------1-------------------------------    ----------------2---------------
-1--2-StatusTests
00CoveredT1,T3,T4
01CoveredT3,T4,T5
10CoveredT74,T75,T76

 LINE       196
 SUB-EXPRESSION ( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) )
                    ----------------------------1----------------------------
-1-StatusTests
0CoveredT74,T75,T76
1CoveredT1,T3,T4

 LINE       196
 SUB-EXPRESSION ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)
                 -------------------1-------------------    ------2-----
-1--2-StatusTests
00CoveredT74,T75,T76
01CoveredT1,T3,T4
10CoveredT3,T4,T5

 LINE       196
 SUB-EXPRESSION (oup_buf_almost_full && oup_buf_wvalid)
                 ---------1---------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T4
11CoveredT3,T4,T5

 LINE       196
 SUB-EXPRESSION (fifo_rvalid_o && fifo_rready_i)
                 ------1------    ------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T4
11CoveredT3,T4,T5

 LINE       204
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T4
11CoveredT1,T3,T4

 LINE       207
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T4
11CoveredT1,T3,T4

 LINE       212
 EXPRESSION (oup_buf_wready && ((!sram_read_in_prev_cyc_q)))
             -------1------    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T4,T5
11CoveredT1,T2,T3

 LINE       220
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T3,T4

 LINE       223
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T3,T4

 LINE       236
 EXPRESSION (inp_buf_wready && ( ! (sram_full && oup_buf_full) ))
             -------1------    ----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT8,T31,T43
11CoveredT1,T2,T3

 LINE       236
 SUB-EXPRESSION ( ! (sram_full && oup_buf_full) )
                    -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT8,T31,T43

 LINE       236
 SUB-EXPRESSION (sram_full && oup_buf_full)
                 ----1----    ------2-----
-1--2-StatusTests
01CoveredT1,T3,T4
10Not Covered
11CoveredT8,T31,T43

Branch Coverage for Module : i2c_fifo_sync_sram_adapter
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 162 2 2 100.00
IF 164 2 2 100.00
IF 183 2 2 100.00
IF 191 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 162 (clr_i) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 183 if (sram_read_in_prev_cyc_q)

Branches:
-1-StatusTests
1 Covered T3,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 191 if ((!sram_empty)) -2-: 196 if (((!((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)) || (fifo_rvalid_o && fifo_rready_i))) -3-: 212 if ((oup_buf_wready && (!sram_read_in_prev_cyc_q)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T3,T4,T5
1 0 - Covered T1,T3,T4
0 - 1 Covered T1,T2,T3
0 - 0 Covered T1,T2,T3


Assert Coverage for Module : i2c_fifo_sync_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinimalSramAw_A 5708 5708 0 0
MinimalSramFifoDepth_A 5708 5708 0 0
NoErr_A 1537696460 1536983480 0 0
NoSramReadWhenEmpty_A 1537696460 1227225607 0 0
NoSramWriteWhenFull_A 1537696460 23263792 0 0
OupBufWreadyAfterSramRead_A 1537696460 470509 0 0
SramRvalidAfterRead_A 1537696460 470509 0 0


MinimalSramAw_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 5708 5708 0 0
T1 4 4 0 0
T2 4 4 0 0
T3 4 4 0 0
T4 4 4 0 0
T5 4 4 0 0
T6 4 4 0 0
T7 4 4 0 0
T8 4 4 0 0
T9 4 4 0 0
T10 4 4 0 0

MinimalSramFifoDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 5708 5708 0 0
T1 4 4 0 0
T2 4 4 0 0
T3 4 4 0 0
T4 4 4 0 0
T5 4 4 0 0
T6 4 4 0 0
T7 4 4 0 0
T8 4 4 0 0
T9 4 4 0 0
T10 4 4 0 0

NoErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1537696460 1536983480 0 0
T1 40584 40192 0 0
T2 4632 4344 0 0
T3 734048 733660 0 0
T4 531812 531568 0 0
T5 519072 518716 0 0
T6 159044 158800 0 0
T7 458540 458276 0 0
T8 57716 57340 0 0
T9 128324 128124 0 0
T10 3740 3380 0 0

NoSramReadWhenEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1537696460 1227225607 0 0
T1 40584 37254 0 0
T2 4632 4344 0 0
T3 734048 686548 0 0
T4 531812 435903 0 0
T5 519072 491373 0 0
T6 159044 128503 0 0
T7 458540 431113 0 0
T8 57716 45808 0 0
T9 128324 111215 0 0
T10 3740 3380 0 0

NoSramWriteWhenFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1537696460 23263792 0 0
T8 14429 3 0 0
T14 218660 13 0 0
T15 0 14 0 0
T18 62965 0 0 0
T27 37554 0 0 0
T28 33370 0 0 0
T29 8302 0 0 0
T31 0 3815 0 0
T32 0 15943 0 0
T33 0 42027 0 0
T37 0 454549 0 0
T39 14219 0 0 0
T43 124177 1760 0 0
T46 132148 0 0 0
T68 771415 0 0 0
T72 0 21422 0 0
T73 0 574 0 0
T85 55821 0 0 0
T86 18846 0 0 0
T87 83784 0 0 0
T92 0 92025 0 0
T93 0 36917 0 0
T94 0 48901 0 0
T158 0 26530 0 0
T164 0 3832 0 0
T165 0 4401 0 0
T166 0 106587 0 0
T167 0 5937 0 0
T168 0 6174 0 0
T169 0 99628 0 0
T170 0 438 0 0
T171 134714 0 0 0
T172 17478 0 0 0
T173 1774 0 0 0
T174 1632 0 0 0
T175 24584 0 0 0
T176 12441 0 0 0
T177 75535 0 0 0
T178 56308 0 0 0

OupBufWreadyAfterSramRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1537696460 470509 0 0
T3 183512 43 0 0
T4 398859 288 0 0
T5 389304 0 0 0
T6 119283 143 0 0
T7 343905 28 0 0
T8 43287 0 0 0
T9 96243 41 0 0
T10 2805 0 0 0
T11 210853 737 0 0
T16 98031 67 0 0
T18 0 268 0 0
T24 733497 172 0 0
T25 51716 0 0 0
T26 12022 0 0 0
T27 0 79 0 0
T28 0 83 0 0
T30 17103 0 0 0
T31 748482 1240 0 0
T35 263843 3 0 0
T38 9819 2 0 0
T39 0 17 0 0
T42 40555 13 0 0
T43 0 233 0 0
T46 0 33 0 0
T85 0 92 0 0
T86 0 27 0 0
T91 9509 0 0 0
T159 324467 149 0 0

SramRvalidAfterRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1537696460 470509 0 0
T3 183512 43 0 0
T4 398859 288 0 0
T5 389304 0 0 0
T6 119283 143 0 0
T7 343905 28 0 0
T8 43287 0 0 0
T9 96243 41 0 0
T10 2805 0 0 0
T11 210853 737 0 0
T16 98031 67 0 0
T18 0 268 0 0
T24 733497 172 0 0
T25 51716 0 0 0
T26 12022 0 0 0
T27 0 79 0 0
T28 0 83 0 0
T30 17103 0 0 0
T31 748482 1240 0 0
T35 263843 3 0 0
T38 9819 2 0 0
T39 0 17 0 0
T42 40555 13 0 0
T43 0 233 0 0
T46 0 33 0 0
T85 0 92 0 0
T86 0 27 0 0
T91 9509 0 0 0
T159 324467 149 0 0

Line Coverage for Instance : tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter
Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
153 1 1
154 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Cond Coverage for Instance : tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter
TotalCoveredPercent
Conditions513874.51
Logical513874.51
Non-Logical00
Event00

 LINE       120
 EXPRESSION (fifo_wvalid_i && fifo_wready_o)
             ------1------    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT4,T5,T9

 LINE       125
 EXPRESSION (sram_req_o && sram_gnt_i)
             -----1----    -----2----
-1--2-StatusTests
01Not Covered
10Not Covered
11CoveredT4,T5,T9

 LINE       150
 EXPRESSION (sram_access && sram_write_o)
             -----1-----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T5,T9
11CoveredT4,T5,T9

 LINE       151
 EXPRESSION (sram_access && ((!sram_write_o)))
             -----1-----    --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T5,T9
11CoveredT4,T5,T9

 LINE       162
 EXPRESSION (clr_i ? 1'b0 : sram_incr_rd_ptr)
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       196
 EXPRESSION (( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) ) || (fifo_rvalid_o && fifo_rready_i))
             -------------------------------1-------------------------------    ----------------2---------------
-1--2-StatusTests
00CoveredT4,T5,T9
01CoveredT4,T5,T9
10Not Covered

 LINE       196
 SUB-EXPRESSION ( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) )
                    ----------------------------1----------------------------
-1-StatusTests
0Not Covered
1CoveredT4,T5,T9

 LINE       196
 SUB-EXPRESSION ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)
                 -------------------1-------------------    ------2-----
-1--2-StatusTests
00Not Covered
01CoveredT4,T5,T9
10CoveredT4,T5,T9

 LINE       196
 SUB-EXPRESSION (oup_buf_almost_full && oup_buf_wvalid)
                 ---------1---------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T9
11CoveredT4,T5,T9

 LINE       196
 SUB-EXPRESSION (fifo_rvalid_o && fifo_rready_i)
                 ------1------    ------2------
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T9
11CoveredT4,T5,T9

 LINE       204
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T9
11CoveredT4,T5,T9

 LINE       207
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T9
11CoveredT4,T5,T9

 LINE       212
 EXPRESSION (oup_buf_wready && ((!sram_read_in_prev_cyc_q)))
             -------1------    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T5,T9
11CoveredT1,T2,T3

 LINE       220
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT4,T5,T9

 LINE       223
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT4,T5,T9

 LINE       236
 EXPRESSION (inp_buf_wready && ( ! (sram_full && oup_buf_full) ))
             -------1------    ----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT92,T93,T94
11CoveredT1,T2,T3

 LINE       236
 SUB-EXPRESSION ( ! (sram_full && oup_buf_full) )
                    -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT92,T93,T94

 LINE       236
 SUB-EXPRESSION (sram_full && oup_buf_full)
                 ----1----    ------2-----
-1--2-StatusTests
01CoveredT4,T5,T9
10Not Covered
11CoveredT92,T93,T94

Branch Coverage for Instance : tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 162 2 2 100.00
IF 164 2 2 100.00
IF 183 2 2 100.00
IF 191 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 162 (clr_i) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 183 if (sram_read_in_prev_cyc_q)

Branches:
-1-StatusTests
1 Covered T4,T5,T9
0 Covered T1,T2,T3


LineNo. Expression -1-: 191 if ((!sram_empty)) -2-: 196 if (((!((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)) || (fifo_rvalid_o && fifo_rready_i))) -3-: 212 if ((oup_buf_wready && (!sram_read_in_prev_cyc_q)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T4,T5,T9
1 0 - Covered T4,T5,T9
0 - 1 Covered T1,T2,T3
0 - 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinimalSramAw_A 1427 1427 0 0
MinimalSramFifoDepth_A 1427 1427 0 0
NoErr_A 384424115 384245870 0 0
NoSramReadWhenEmpty_A 384424115 359907024 0 0
NoSramWriteWhenFull_A 384424115 2732056 0 0
OupBufWreadyAfterSramRead_A 384424115 47809 0 0
SramRvalidAfterRead_A 384424115 47809 0 0


MinimalSramAw_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1427 1427 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

MinimalSramFifoDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1427 1427 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

NoErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384424115 384245870 0 0
T1 10146 10048 0 0
T2 1158 1086 0 0
T3 183512 183415 0 0
T4 132953 132892 0 0
T5 129768 129679 0 0
T6 39761 39700 0 0
T7 114635 114569 0 0
T8 14429 14335 0 0
T9 32081 32031 0 0
T10 935 845 0 0

NoSramReadWhenEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384424115 359907024 0 0
T1 10146 10048 0 0
T2 1158 1086 0 0
T3 183512 183415 0 0
T4 132953 90459 0 0
T5 129768 102336 0 0
T6 39761 39700 0 0
T7 114635 114569 0 0
T8 14429 14335 0 0
T9 32081 21694 0 0
T10 935 845 0 0

NoSramWriteWhenFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384424115 2732056 0 0
T44 35903 0 0 0
T92 132265 92025 0 0
T93 0 36917 0 0
T94 0 48901 0 0
T136 0 70519 0 0
T160 0 74057 0 0
T161 0 63742 0 0
T166 151478 0 0 0
T167 20182 0 0 0
T179 0 78763 0 0
T180 0 84317 0 0
T181 0 32829 0 0
T182 0 66990 0 0
T183 401223 0 0 0
T184 11539 0 0 0
T185 108091 0 0 0
T186 11183 0 0 0
T187 56199 0 0 0
T188 210482 0 0 0

OupBufWreadyAfterSramRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384424115 47809 0 0
T4 132953 205 0 0
T5 129768 155 0 0
T6 39761 0 0 0
T7 114635 0 0 0
T8 14429 0 0 0
T9 32081 57 0 0
T10 935 0 0 0
T16 32677 29 0 0
T24 0 35 0 0
T25 0 60 0 0
T26 0 54 0 0
T27 0 68 0 0
T28 0 52 0 0
T29 0 28 0 0
T30 5701 0 0 0
T31 249494 0 0 0

SramRvalidAfterRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384424115 47809 0 0
T4 132953 205 0 0
T5 129768 155 0 0
T6 39761 0 0 0
T7 114635 0 0 0
T8 14429 0 0 0
T9 32081 57 0 0
T10 935 0 0 0
T16 32677 29 0 0
T24 0 35 0 0
T25 0 60 0 0
T26 0 54 0 0
T27 0 68 0 0
T28 0 52 0 0
T29 0 28 0 0
T30 5701 0 0 0
T31 249494 0 0 0

Line Coverage for Instance : tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter
Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
153 1 1
154 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Cond Coverage for Instance : tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter
TotalCoveredPercent
Conditions514282.35
Logical514282.35
Non-Logical00
Event00

 LINE       120
 EXPRESSION (fifo_wvalid_i && fifo_wready_o)
             ------1------    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T3,T6

 LINE       125
 EXPRESSION (sram_req_o && sram_gnt_i)
             -----1----    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT74,T75,T76
11CoveredT1,T3,T6

 LINE       150
 EXPRESSION (sram_access && sram_write_o)
             -----1-----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T6,T7
11CoveredT1,T3,T6

 LINE       151
 EXPRESSION (sram_access && ((!sram_write_o)))
             -----1-----    --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T6
11CoveredT3,T6,T7

 LINE       162
 EXPRESSION (clr_i ? 1'b0 : sram_incr_rd_ptr)
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       196
 EXPRESSION (( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) ) || (fifo_rvalid_o && fifo_rready_i))
             -------------------------------1-------------------------------    ----------------2---------------
-1--2-StatusTests
00CoveredT1,T3,T6
01CoveredT3,T6,T7
10CoveredT74,T75,T76

 LINE       196
 SUB-EXPRESSION ( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) )
                    ----------------------------1----------------------------
-1-StatusTests
0CoveredT74,T75,T76
1CoveredT1,T3,T6

 LINE       196
 SUB-EXPRESSION ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)
                 -------------------1-------------------    ------2-----
-1--2-StatusTests
00CoveredT74,T75,T76
01CoveredT1,T3,T6
10CoveredT3,T6,T7

 LINE       196
 SUB-EXPRESSION (oup_buf_almost_full && oup_buf_wvalid)
                 ---------1---------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T6
11CoveredT3,T6,T7

 LINE       196
 SUB-EXPRESSION (fifo_rvalid_o && fifo_rready_i)
                 ------1------    ------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T6
11CoveredT3,T6,T7

 LINE       204
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T6
11CoveredT1,T3,T6

 LINE       207
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T6
11CoveredT1,T3,T6

 LINE       212
 EXPRESSION (oup_buf_wready && ((!sram_read_in_prev_cyc_q)))
             -------1------    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T6,T7
11CoveredT1,T2,T3

 LINE       220
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T3,T6

 LINE       223
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T3,T6

 LINE       236
 EXPRESSION (inp_buf_wready && ( ! (sram_full && oup_buf_full) ))
             -------1------    ----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT43,T164,T72
11CoveredT1,T2,T3

 LINE       236
 SUB-EXPRESSION ( ! (sram_full && oup_buf_full) )
                    -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT43,T164,T72

 LINE       236
 SUB-EXPRESSION (sram_full && oup_buf_full)
                 ----1----    ------2-----
-1--2-StatusTests
01CoveredT1,T3,T6
10Not Covered
11CoveredT43,T164,T72

Branch Coverage for Instance : tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 162 2 2 100.00
IF 164 2 2 100.00
IF 183 2 2 100.00
IF 191 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 162 (clr_i) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 183 if (sram_read_in_prev_cyc_q)

Branches:
-1-StatusTests
1 Covered T3,T6,T7
0 Covered T1,T2,T3


LineNo. Expression -1-: 191 if ((!sram_empty)) -2-: 196 if (((!((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)) || (fifo_rvalid_o && fifo_rready_i))) -3-: 212 if ((oup_buf_wready && (!sram_read_in_prev_cyc_q)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T3,T6,T7
1 0 - Covered T1,T3,T6
0 - 1 Covered T1,T2,T3
0 - 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinimalSramAw_A 1427 1427 0 0
MinimalSramFifoDepth_A 1427 1427 0 0
NoErr_A 384424115 384245870 0 0
NoSramReadWhenEmpty_A 384424115 311940600 0 0
NoSramWriteWhenFull_A 384424115 19891368 0 0
OupBufWreadyAfterSramRead_A 384424115 158694 0 0
SramRvalidAfterRead_A 384424115 158694 0 0


MinimalSramAw_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1427 1427 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

MinimalSramFifoDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1427 1427 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

NoErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384424115 384245870 0 0
T1 10146 10048 0 0
T2 1158 1086 0 0
T3 183512 183415 0 0
T4 132953 132892 0 0
T5 129768 129679 0 0
T6 39761 39700 0 0
T7 114635 114569 0 0
T8 14429 14335 0 0
T9 32081 32031 0 0
T10 935 845 0 0

NoSramReadWhenEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384424115 311940600 0 0
T1 10146 7110 0 0
T2 1158 1086 0 0
T3 183512 136303 0 0
T4 132953 132892 0 0
T5 129768 129679 0 0
T6 39761 9403 0 0
T7 114635 87406 0 0
T8 14429 14335 0 0
T9 32081 32031 0 0
T10 935 845 0 0

NoSramWriteWhenFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384424115 19891368 0 0
T18 62965 0 0 0
T27 37554 0 0 0
T28 33370 0 0 0
T29 8302 0 0 0
T37 0 450305 0 0
T39 14219 0 0 0
T43 124177 1697 0 0
T46 132148 0 0 0
T72 0 21396 0 0
T85 55821 0 0 0
T86 18846 0 0 0
T87 83784 0 0 0
T158 0 26521 0 0
T164 0 3832 0 0
T165 0 4401 0 0
T166 0 106587 0 0
T167 0 5937 0 0
T168 0 6174 0 0
T169 0 99628 0 0

OupBufWreadyAfterSramRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384424115 158694 0 0
T3 183512 43 0 0
T4 132953 0 0 0
T5 129768 0 0 0
T6 39761 143 0 0
T7 114635 28 0 0
T8 14429 0 0 0
T9 32081 0 0 0
T10 935 0 0 0
T16 32677 0 0 0
T30 5701 0 0 0
T35 0 3 0 0
T38 0 2 0 0
T39 0 17 0 0
T42 0 13 0 0
T43 0 171 0 0
T46 0 33 0 0
T85 0 92 0 0

SramRvalidAfterRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384424115 158694 0 0
T3 183512 43 0 0
T4 132953 0 0 0
T5 129768 0 0 0
T6 39761 143 0 0
T7 114635 28 0 0
T8 14429 0 0 0
T9 32081 0 0 0
T10 935 0 0 0
T16 32677 0 0 0
T30 5701 0 0 0
T35 0 3 0 0
T38 0 2 0 0
T39 0 17 0 0
T42 0 13 0 0
T43 0 171 0 0
T46 0 33 0 0
T85 0 92 0 0

Line Coverage for Instance : tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter
Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15611100.00
CONT_ASSIGN15711100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
156 1 1
157 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Cond Coverage for Instance : tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter
TotalCoveredPercent
Conditions514282.35
Logical514282.35
Non-Logical00
Event00

 LINE       120
 EXPRESSION (fifo_wvalid_i && fifo_wready_o)
             ------1------    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT14,T15
11CoveredT4,T5,T9

 LINE       125
 EXPRESSION (sram_req_o && sram_gnt_i)
             -----1----    -----2----
-1--2-StatusTests
01Not Covered
10Not Covered
11CoveredT4,T9,T16

 LINE       150
 EXPRESSION (sram_access && sram_write_o)
             -----1-----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T9,T16
11CoveredT4,T9,T16

 LINE       151
 EXPRESSION (sram_access && ((!sram_write_o)))
             -----1-----    --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T9,T16
11CoveredT4,T9,T16

 LINE       162
 EXPRESSION (clr_i ? 1'b0 : sram_incr_rd_ptr)
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       196
 EXPRESSION (( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) ) || (fifo_rvalid_o && fifo_rready_i))
             -------------------------------1-------------------------------    ----------------2---------------
-1--2-StatusTests
00CoveredT4,T9,T16
01CoveredT4,T9,T16
10CoveredT189,T190,T15

 LINE       196
 SUB-EXPRESSION ( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) )
                    ----------------------------1----------------------------
-1-StatusTests
0CoveredT189,T190,T15
1CoveredT4,T9,T16

 LINE       196
 SUB-EXPRESSION ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)
                 -------------------1-------------------    ------2-----
-1--2-StatusTests
00CoveredT189,T190,T15
01CoveredT4,T9,T16
10CoveredT4,T9,T16

 LINE       196
 SUB-EXPRESSION (oup_buf_almost_full && oup_buf_wvalid)
                 ---------1---------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT4,T9,T16
11CoveredT4,T9,T16

 LINE       196
 SUB-EXPRESSION (fifo_rvalid_o && fifo_rready_i)
                 ------1------    ------2------
-1--2-StatusTests
01Not Covered
10CoveredT4,T9,T16
11CoveredT4,T9,T16

 LINE       204
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT4,T9,T16
11CoveredT4,T9,T16

 LINE       207
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT4,T9,T16
11CoveredT4,T9,T16

 LINE       212
 EXPRESSION (oup_buf_wready && ((!sram_read_in_prev_cyc_q)))
             -------1------    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T9,T16
11CoveredT1,T2,T3

 LINE       220
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT4,T9,T16

 LINE       223
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT4,T9,T16

 LINE       236
 EXPRESSION (inp_buf_wready && ( ! (sram_full && oup_buf_full) ))
             -------1------    ----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT14,T15
11CoveredT1,T2,T3

 LINE       236
 SUB-EXPRESSION ( ! (sram_full && oup_buf_full) )
                    -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT14,T15

 LINE       236
 SUB-EXPRESSION (sram_full && oup_buf_full)
                 ----1----    ------2-----
-1--2-StatusTests
01CoveredT4,T9,T16
10Not Covered
11CoveredT14,T15

Branch Coverage for Instance : tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 162 2 2 100.00
IF 164 2 2 100.00
IF 183 2 2 100.00
IF 191 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 162 (clr_i) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 183 if (sram_read_in_prev_cyc_q)

Branches:
-1-StatusTests
1 Covered T4,T9,T16
0 Covered T1,T2,T3


LineNo. Expression -1-: 191 if ((!sram_empty)) -2-: 196 if (((!((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)) || (fifo_rvalid_o && fifo_rready_i))) -3-: 212 if ((oup_buf_wready && (!sram_read_in_prev_cyc_q)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T4,T9,T16
1 0 - Covered T4,T9,T16
0 - 1 Covered T1,T2,T3
0 - 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinimalSramAw_A 1427 1427 0 0
MinimalSramFifoDepth_A 1427 1427 0 0
NoErr_A 384424115 384245870 0 0
NoSramReadWhenEmpty_A 384424115 203369830 0 0
NoSramWriteWhenFull_A 384424115 27 0 0
OupBufWreadyAfterSramRead_A 384424115 105979 0 0
SramRvalidAfterRead_A 384424115 105979 0 0


MinimalSramAw_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1427 1427 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

MinimalSramFifoDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1427 1427 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

NoErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384424115 384245870 0 0
T1 10146 10048 0 0
T2 1158 1086 0 0
T3 183512 183415 0 0
T4 132953 132892 0 0
T5 129768 129679 0 0
T6 39761 39700 0 0
T7 114635 114569 0 0
T8 14429 14335 0 0
T9 32081 32031 0 0
T10 935 845 0 0

NoSramReadWhenEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384424115 203369830 0 0
T1 10146 10048 0 0
T2 1158 1086 0 0
T3 183512 183415 0 0
T4 132953 79660 0 0
T5 129768 129679 0 0
T6 39761 39700 0 0
T7 114635 114569 0 0
T8 14429 14335 0 0
T9 32081 25459 0 0
T10 935 845 0 0

NoSramWriteWhenFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384424115 27 0 0
T14 218660 13 0 0
T15 0 14 0 0
T68 771415 0 0 0
T171 134714 0 0 0
T172 17478 0 0 0
T173 1774 0 0 0
T174 1632 0 0 0
T175 24584 0 0 0
T176 12441 0 0 0
T177 75535 0 0 0
T178 56308 0 0 0

OupBufWreadyAfterSramRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384424115 105979 0 0
T4 132953 288 0 0
T5 129768 0 0 0
T6 39761 0 0 0
T7 114635 0 0 0
T8 14429 0 0 0
T9 32081 41 0 0
T10 935 0 0 0
T11 0 737 0 0
T16 32677 67 0 0
T18 0 268 0 0
T24 0 172 0 0
T27 0 79 0 0
T28 0 83 0 0
T30 5701 0 0 0
T31 249494 0 0 0
T86 0 27 0 0
T159 0 149 0 0

SramRvalidAfterRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384424115 105979 0 0
T4 132953 288 0 0
T5 129768 0 0 0
T6 39761 0 0 0
T7 114635 0 0 0
T8 14429 0 0 0
T9 32081 41 0 0
T10 935 0 0 0
T11 0 737 0 0
T16 32677 67 0 0
T18 0 268 0 0
T24 0 172 0 0
T27 0 79 0 0
T28 0 83 0 0
T30 5701 0 0 0
T31 249494 0 0 0
T86 0 27 0 0
T159 0 149 0 0

Line Coverage for Instance : tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter
Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
153 1 1
154 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Cond Coverage for Instance : tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter
TotalCoveredPercent
Conditions514384.31
Logical514384.31
Non-Logical00
Event00

 LINE       120
 EXPRESSION (fifo_wvalid_i && fifo_wready_o)
             ------1------    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT31,T32,T33
11CoveredT3,T7,T8

 LINE       125
 EXPRESSION (sram_req_o && sram_gnt_i)
             -----1----    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT77,T78,T79
11CoveredT8,T31,T43

 LINE       150
 EXPRESSION (sram_access && sram_write_o)
             -----1-----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT31,T43,T72
11CoveredT8,T31,T43

 LINE       151
 EXPRESSION (sram_access && ((!sram_write_o)))
             -----1-----    --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT8,T31,T43
11CoveredT31,T43,T72

 LINE       162
 EXPRESSION (clr_i ? 1'b0 : sram_incr_rd_ptr)
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       196
 EXPRESSION (( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) ) || (fifo_rvalid_o && fifo_rready_i))
             -------------------------------1-------------------------------    ----------------2---------------
-1--2-StatusTests
00CoveredT8,T31,T43
01CoveredT31,T43,T72
10CoveredT77,T78,T79

 LINE       196
 SUB-EXPRESSION ( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) )
                    ----------------------------1----------------------------
-1-StatusTests
0CoveredT77,T78,T79
1CoveredT8,T31,T43

 LINE       196
 SUB-EXPRESSION ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)
                 -------------------1-------------------    ------2-----
-1--2-StatusTests
00CoveredT77,T78,T79
01CoveredT8,T31,T43
10CoveredT31,T43,T72

 LINE       196
 SUB-EXPRESSION (oup_buf_almost_full && oup_buf_wvalid)
                 ---------1---------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT8,T31,T43
11CoveredT31,T43,T72

 LINE       196
 SUB-EXPRESSION (fifo_rvalid_o && fifo_rready_i)
                 ------1------    ------2------
-1--2-StatusTests
01Not Covered
10CoveredT8,T31,T43
11CoveredT31,T43,T72

 LINE       204
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT8,T31,T43
11CoveredT8,T31,T43

 LINE       207
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT8,T31,T43
11CoveredT8,T31,T43

 LINE       212
 EXPRESSION (oup_buf_wready && ((!sram_read_in_prev_cyc_q)))
             -------1------    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT31,T43,T72
11CoveredT1,T2,T3

 LINE       220
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT8,T31,T43

 LINE       223
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT8,T31,T43

 LINE       236
 EXPRESSION (inp_buf_wready && ( ! (sram_full && oup_buf_full) ))
             -------1------    ----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT8,T31,T43
11CoveredT1,T2,T3

 LINE       236
 SUB-EXPRESSION ( ! (sram_full && oup_buf_full) )
                    -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT8,T31,T43

 LINE       236
 SUB-EXPRESSION (sram_full && oup_buf_full)
                 ----1----    ------2-----
-1--2-StatusTests
01CoveredT8,T31,T43
10Not Covered
11CoveredT8,T31,T43

Branch Coverage for Instance : tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 162 2 2 100.00
IF 164 2 2 100.00
IF 183 2 2 100.00
IF 191 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 162 (clr_i) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 183 if (sram_read_in_prev_cyc_q)

Branches:
-1-StatusTests
1 Covered T31,T43,T72
0 Covered T1,T2,T3


LineNo. Expression -1-: 191 if ((!sram_empty)) -2-: 196 if (((!((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)) || (fifo_rvalid_o && fifo_rready_i))) -3-: 212 if ((oup_buf_wready && (!sram_read_in_prev_cyc_q)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T31,T43,T72
1 0 - Covered T8,T31,T43
0 - 1 Covered T1,T2,T3
0 - 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinimalSramAw_A 1427 1427 0 0
MinimalSramFifoDepth_A 1427 1427 0 0
NoErr_A 384424115 384245870 0 0
NoSramReadWhenEmpty_A 384424115 352008153 0 0
NoSramWriteWhenFull_A 384424115 640341 0 0
OupBufWreadyAfterSramRead_A 384424115 158027 0 0
SramRvalidAfterRead_A 384424115 158027 0 0


MinimalSramAw_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1427 1427 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

MinimalSramFifoDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1427 1427 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

NoErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384424115 384245870 0 0
T1 10146 10048 0 0
T2 1158 1086 0 0
T3 183512 183415 0 0
T4 132953 132892 0 0
T5 129768 129679 0 0
T6 39761 39700 0 0
T7 114635 114569 0 0
T8 14429 14335 0 0
T9 32081 32031 0 0
T10 935 845 0 0

NoSramReadWhenEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384424115 352008153 0 0
T1 10146 10048 0 0
T2 1158 1086 0 0
T3 183512 183415 0 0
T4 132953 132892 0 0
T5 129768 129679 0 0
T6 39761 39700 0 0
T7 114635 114569 0 0
T8 14429 2803 0 0
T9 32081 32031 0 0
T10 935 845 0 0

NoSramWriteWhenFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384424115 640341 0 0
T8 14429 3 0 0
T9 32081 0 0 0
T10 935 0 0 0
T11 210853 0 0 0
T16 32677 0 0 0
T30 5701 0 0 0
T31 249494 3815 0 0
T32 0 15943 0 0
T33 0 42027 0 0
T37 0 4244 0 0
T38 9819 0 0 0
T43 0 63 0 0
T72 0 26 0 0
T73 0 574 0 0
T91 9509 0 0 0
T158 0 9 0 0
T159 324467 0 0 0
T170 0 438 0 0

OupBufWreadyAfterSramRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384424115 158027 0 0
T11 210853 0 0 0
T24 733497 0 0 0
T25 51716 0 0 0
T26 12022 0 0 0
T31 249494 1240 0 0
T35 263843 0 0 0
T37 0 2189 0 0
T38 9819 0 0 0
T42 40555 0 0 0
T43 0 62 0 0
T72 0 62 0 0
T73 0 992 0 0
T91 9509 0 0 0
T149 0 85 0 0
T154 0 930 0 0
T158 0 66 0 0
T159 324467 0 0 0
T170 0 806 0 0
T191 0 806 0 0

SramRvalidAfterRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384424115 158027 0 0
T11 210853 0 0 0
T24 733497 0 0 0
T25 51716 0 0 0
T26 12022 0 0 0
T31 249494 1240 0 0
T35 263843 0 0 0
T37 0 2189 0 0
T38 9819 0 0 0
T42 40555 0 0 0
T43 0 62 0 0
T72 0 62 0 0
T73 0 992 0 0
T91 9509 0 0 0
T149 0 85 0 0
T154 0 930 0 0
T158 0 66 0 0
T159 324467 0 0 0
T170 0 806 0 0
T191 0 806 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%