Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.i2c_core.u_fifos.u_ram_1p.u_mem.gen_generic.u_impl_generic

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.24 85.71 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.24 85.71 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
u_mem


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : prim_generic_ram_1p
Line No.TotalCoveredPercent
TOTAL7685.71
CONT_ASSIGN42100.00
CONT_ASSIGN5200
ALWAYS6366100.00

41 logic unused_cfg; 42 0/1 ==> assign unused_cfg = ^cfg_i; 43 44 // Width of internal write mask. Note wmask_i input into the module is always assumed 45 // to be the full bit mask 46 localparam int MaskWidth = Width / DataBitsPerMask; 47 48 logic [Width-1:0] mem [Depth]; 49 logic [MaskWidth-1:0] wmask; 50 51 for (genvar k = 0; k < MaskWidth; k++) begin : gen_wmask 52 unreachable assign wmask[k] = &wmask_i[k*DataBitsPerMask +: DataBitsPerMask]; 53 54 // Ensure that all mask bits within a group have the same value for a write 55 `ASSERT(MaskCheck_A, req_i && write_i |-> 56 wmask_i[k*DataBitsPerMask +: DataBitsPerMask] inside {{DataBitsPerMask{1'b1}}, '0}, 57 clk_i, '0) 58 end 59 60 // using always instead of always_ff to avoid 'ICPD - illegal combination of drivers' error 61 // thrown when using $readmemh system task to backdoor load an image 62 always @(posedge clk_i) begin 63 1/1 if (req_i) begin Tests: T1 T2 T3  64 1/1 if (write_i) begin Tests: T2 T3 T4  65 1/1 for (int i=0; i < MaskWidth; i = i + 1) begin Tests: T2 T3 T4  66 1/1 if (wmask[i]) begin Tests: T2 T3 T4  67 1/1 mem[addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= Tests: T2 T3 T4  68 wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 69 end ==> MISSING_ELSE 70 end 71 end else begin 72 1/1 rdata_o <= mem[addr_i]; Tests: T2 T4 T5  73 end 74 end MISSING_ELSE

Branch Coverage for Module : prim_generic_ram_1p
Line No.TotalCoveredPercent
Branches 3 3 100.00
IF 63 3 3 100.00


63 if (req_i) begin -1- 64 if (write_i) begin -2- 65 for (int i=0; i < MaskWidth; i = i + 1) begin ==> 66 if (wmask[i]) begin 67 mem[addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= 68 wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 69 end 70 end 71 end else begin 72 rdata_o <= mem[addr_i]; ==> 73 end 74 end MISSING_ELSE ==>

Branches:
-1--2-StatusTests
1 1 Covered T2,T3,T4
1 0 Covered T2,T4,T5
0 - Covered T1,T2,T3


Assert Coverage for Module : prim_generic_ram_1p
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataBitsPerMaskCheck_A 1683 1683 0 0
gen_wmask[0].MaskCheck_A 384075267 670916 0 0


DataBitsPerMaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1683 1683 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

gen_wmask[0].MaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384075267 670916 0 0
T2 130133 28 0 0
T3 9154 45 0 0
T4 20775 90 0 0
T5 47724 179 0 0
T6 153117 682 0 0
T7 8045 0 0 0
T8 11927 13 0 0
T9 44843 59 0 0
T10 219025 1093 0 0
T19 169495 49 0 0
T44 0 371 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%