Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : otp_ctrl
SCORELINECONDTOGGLEFSMBRANCHASSERT
92.14 96.15 86.96 87.31 93.10 97.18

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut 96.84 97.40 96.15 97.04 96.43 97.18



Module Instance : tb.dut

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.84 97.40 96.15 97.04 96.43 97.18


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.07 93.93 96.32 95.58 91.17 97.09 96.33


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
core_tlul_assert_device 100.00 100.00 100.00 100.00
gen_alert_tx[0].u_prim_alert_sender 100.00 100.00
gen_alert_tx[1].u_prim_alert_sender 100.00 100.00
gen_alert_tx[2].u_prim_alert_sender 100.00 100.00
gen_alert_tx[3].u_prim_alert_sender 100.00 100.00
gen_alert_tx[4].u_prim_alert_sender 100.00 100.00
gen_bufs[0].u_prim_mubi8_sender_read_lock 100.00 100.00 100.00 100.00
gen_bufs[0].u_prim_mubi8_sender_write_lock 79.17 37.50 100.00 100.00
gen_bufs[10].u_prim_mubi8_sender_read_lock 79.17 37.50 100.00 100.00
gen_bufs[10].u_prim_mubi8_sender_write_lock 79.17 37.50 100.00 100.00
gen_bufs[1].u_prim_mubi8_sender_read_lock 100.00 100.00 100.00 100.00
gen_bufs[1].u_prim_mubi8_sender_write_lock 79.17 37.50 100.00 100.00
gen_bufs[2].u_prim_mubi8_sender_read_lock 100.00 100.00 100.00 100.00
gen_bufs[2].u_prim_mubi8_sender_write_lock 79.17 37.50 100.00 100.00
gen_bufs[3].u_prim_mubi8_sender_read_lock 100.00 100.00 100.00 100.00
gen_bufs[3].u_prim_mubi8_sender_write_lock 79.17 37.50 100.00 100.00
gen_bufs[4].u_prim_mubi8_sender_read_lock 100.00 100.00 100.00 100.00
gen_bufs[4].u_prim_mubi8_sender_write_lock 79.17 37.50 100.00 100.00
gen_bufs[5].u_prim_mubi8_sender_read_lock 79.17 37.50 100.00 100.00
gen_bufs[5].u_prim_mubi8_sender_write_lock 79.17 37.50 100.00 100.00
gen_bufs[6].u_prim_mubi8_sender_read_lock 79.17 37.50 100.00 100.00
gen_bufs[6].u_prim_mubi8_sender_write_lock 79.17 37.50 100.00 100.00
gen_bufs[7].u_prim_mubi8_sender_read_lock 79.17 37.50 100.00 100.00
gen_bufs[7].u_prim_mubi8_sender_write_lock 79.17 37.50 100.00 100.00
gen_bufs[8].u_prim_mubi8_sender_read_lock 79.17 37.50 100.00 100.00
gen_bufs[8].u_prim_mubi8_sender_write_lock 79.17 37.50 100.00 100.00
gen_bufs[9].u_prim_mubi8_sender_read_lock 100.00 100.00 100.00 100.00
gen_bufs[9].u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_partitions[0].gen_unbuffered.u_part_unbuf 97.56 100.00 100.00 100.00 90.00 98.15 97.22
gen_partitions[10].gen_lifecycle.u_part_buf 90.24 90.44 100.00 74.83 90.48 100.00 85.71
gen_partitions[1].gen_unbuffered.u_part_unbuf 98.32 100.00 100.00 100.00 91.67 98.25 100.00
gen_partitions[2].gen_unbuffered.u_part_unbuf 98.32 100.00 100.00 100.00 91.67 98.25 100.00
gen_partitions[3].gen_unbuffered.u_part_unbuf 98.32 100.00 100.00 100.00 91.67 98.25 100.00
gen_partitions[4].gen_unbuffered.u_part_unbuf 98.32 100.00 100.00 100.00 91.67 98.25 100.00
gen_partitions[5].gen_buffered.u_part_buf 95.75 100.00 97.62 100.00 92.00 98.53 86.36
gen_partitions[6].gen_buffered.u_part_buf 93.35 96.65 92.86 100.00 91.67 92.54 86.36
gen_partitions[7].gen_buffered.u_part_buf 95.98 98.54 93.75 100.00 91.67 96.25 95.65
gen_partitions[8].gen_buffered.u_part_buf 95.51 98.54 93.75 100.00 88.89 96.25 95.65
gen_partitions[9].gen_buffered.u_part_buf 95.98 98.54 93.75 100.00 91.67 96.25 95.65
otp_ctrl_core_csr_assert 100.00 100.00
prim_tlul_assert_device 100.00 100.00 100.00 100.00
u_edn_arb 87.74 92.31 65.31 100.00 93.33
u_intr_error 100.00 100.00 100.00 100.00 100.00
u_intr_operation_done 100.00 100.00 100.00 100.00 100.00
u_keygmr_key_valid 100.00 100.00 100.00
u_otp 98.90 93.58 99.81 100.00 100.00 100.00 100.00
u_otp_arb 97.43 98.07 97.92 100.00 93.75
u_otp_ctrl_dai 90.27 85.64 91.96 100.00 87.72 89.04 87.23
u_otp_ctrl_kdi 96.45 99.63 99.64 100.00 86.36 95.70 97.37
u_otp_ctrl_lci 100.00 100.00 100.00 100.00 100.00 100.00 100.00
u_otp_ctrl_lfsr_timer 93.08 100.00 89.87 76.92 100.00 91.67 100.00
u_otp_ctrl_scrmbl 96.92 81.50 100.00 100.00 100.00 100.00 100.00
u_otp_init_sync 100.00 100.00 100.00
u_otp_rsp_fifo 96.83 100.00 92.31 95.00 100.00
u_part_sel_idx 74.55 65.65 89.83 88.89 53.85
u_prim_edn_req 92.19 100.00 93.75 100.00 75.00
u_prim_lc_sender_otp_broadcast_valid 100.00 100.00 100.00
u_prim_lc_sender_rma_token_valid 100.00 100.00 100.00
u_prim_lc_sender_secrets_valid 100.00 100.00 100.00
u_prim_lc_sender_test_tokens_valid 100.00 100.00 100.00
u_prim_lc_sync_check_byp_en 100.00 100.00 100.00 100.00
u_prim_lc_sync_creator_seed_sw_rw_en 100.00 100.00 100.00 100.00
u_prim_lc_sync_dft_en 100.00 100.00 100.00 100.00
u_prim_lc_sync_escalate_en 100.00 100.00 100.00 100.00
u_prim_lc_sync_owner_seed_sw_rw_en 100.00 100.00 100.00 100.00
u_prim_lc_sync_seed_hw_rd_en 100.00 100.00 100.00 100.00
u_reg_core 99.13 99.65 95.98 100.00 100.00 100.00
u_scrmbl_mtx 79.48 75.00 99.17 100.00 43.75
u_tlul_adapter_sram 93.12 89.71 93.00 89.77 100.00
u_tlul_lc_gate 92.41 99.21 92.86 85.71 96.77 87.50

Line Coverage for Module : otp_ctrl
Line No.TotalCoveredPercent
TOTAL15615096.15
CONT_ASSIGN24711100.00
CONT_ASSIGN24911100.00
CONT_ASSIGN24911100.00
CONT_ASSIGN24911100.00
CONT_ASSIGN24911100.00
CONT_ASSIGN24911100.00
CONT_ASSIGN24911100.00
CONT_ASSIGN24911100.00
CONT_ASSIGN24911100.00
CONT_ASSIGN24911100.00
CONT_ASSIGN24911100.00
ALWAYS280141392.86
ALWAYS30433100.00
ALWAYS320111090.91
CONT_ASSIGN37811100.00
CONT_ASSIGN38211100.00
CONT_ASSIGN38611100.00
CONT_ASSIGN39011100.00
CONT_ASSIGN39111100.00
CONT_ASSIGN39911100.00
CONT_ASSIGN40011100.00
ALWAYS40355100.00
ALWAYS4301919100.00
CONT_ASSIGN48411100.00
CONT_ASSIGN49211100.00
ALWAYS49599100.00
ALWAYS5171010100.00
CONT_ASSIGN58111100.00
CONT_ASSIGN58911100.00
CONT_ASSIGN63611100.00
CONT_ASSIGN63811100.00
CONT_ASSIGN76111100.00
CONT_ASSIGN76211100.00
CONT_ASSIGN76311100.00
CONT_ASSIGN79311100.00
CONT_ASSIGN79511100.00
ALWAYS87222100.00
ALWAYS93022100.00
ALWAYS95744100.00
CONT_ASSIGN98411100.00
ALWAYS98733100.00
CONT_ASSIGN103911100.00
CONT_ASSIGN104111100.00
CONT_ASSIGN1075100.00
CONT_ASSIGN1126100.00
CONT_ASSIGN118111100.00
CONT_ASSIGN118111100.00
CONT_ASSIGN118111100.00
CONT_ASSIGN118111100.00
CONT_ASSIGN118111100.00
CONT_ASSIGN123611100.00
CONT_ASSIGN1236100.00
CONT_ASSIGN123611100.00
CONT_ASSIGN123611100.00
CONT_ASSIGN123611100.00
CONT_ASSIGN129611100.00
CONT_ASSIGN1308100.00
CONT_ASSIGN133211100.00
ALWAYS134422100.00
CONT_ASSIGN135811100.00
ALWAYS138699100.00
CONT_ASSIGN141711100.00
CONT_ASSIGN141811100.00
CONT_ASSIGN142011100.00
CONT_ASSIGN142211100.00
CONT_ASSIGN142611100.00
CONT_ASSIGN142811100.00
CONT_ASSIGN143011100.00
CONT_ASSIGN143511100.00
CONT_ASSIGN143711100.00
CONT_ASSIGN143911100.00
CONT_ASSIGN147111100.00
CONT_ASSIGN147311100.00
CONT_ASSIGN147711100.00
CONT_ASSIGN148111100.00
CONT_ASSIGN148511100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
247 1 1
249 10 10
280 1 1
281 1 1
282 1 1
283 1 1
284 1 1
285 1 1
288 0 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
299 1 1
304 1 1
305 1 1
307 1 1
320 1 1
325 1 1
326 1 1
330 1 1
331 1 1
332 1 1
333 1 1
MISSING_ELSE
MISSING_ELSE
337 1 1
338 1 1
339 1 1
340 0 1
MISSING_ELSE
MISSING_ELSE
378 1 1
382 1 1
386 1 1
390 1 1
391 1 1
399 1 1
400 1 1
403 1 1
404 1 1
406 1 1
408 1 1
409 1 1
430 1 1
431 1 1
432 1 1
434 1 1
436 1 1
439 1 1
441 1 1
444 1 1
445 1 1
MISSING_ELSE
449 1 1
451 1 1
455 1 1
458 1 1
460 1 1
465 1 1
466 1 1
MISSING_ELSE
468 1 1
469 1 1
MISSING_ELSE
474 1 1
484 1 1
492 1 1
495 1 1
496 1 1
497 1 1
498 1 1
499 1 1
501 1 1
502 1 1
503 1 1
504 1 1
517 1 1
519 1 1
521 1 1
523 1 1
525 1 1
534 1 1
536 1 1
537 1 1
538 1 1
539 1 1
581 1 1
589 1 1
636 1 1
638 1 1
761 1 1
762 1 1
763 1 1
793 1 1
795 1 1
872 1 1
873 1 1
930 1 1
931 1 1
957 1 1
958 1 1
959 1 1
960 1 1
984 1 1
987 1 1
988 1 1
990 1 1
1039 1 1
1041 1 1
1075 0 1
1126 0 1
1181 5 5
1236 4 5
1296 1 1
1308 0 1
1332 1 1
1344 1 1
1345 1 1
1358 1 1
1386 1 1
1387 1 1
1388 1 1
1389 1 1
1391 1 1
1392 1 1
1393 1 1
1394 1 1
1395 1 1
1417 1 1
1418 1 1
1420 1 1
1422 1 1
1426 1 1
1428 1 1
1430 1 1
1435 1 1
1437 1 1
1439 1 1
1471 1 1
1473 1 1
1477 1 1
1481 1 1
1485 1 1


Cond Coverage for Module : otp_ctrl
TotalCoveredPercent
Conditions11510086.96
Logical11510086.96
Non-Logical00
Event00

 LINE       249
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b00001000000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[1].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       249
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b00111101000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[2].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       249
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b10001111000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[3].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T8
11CoveredT1,T2,T3

 LINE       249
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11001010000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[4].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T7,T13
11CoveredT1,T3,T8

 LINE       249
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11001111000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[5].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T7,T13
11CoveredT6,T7,T13

 LINE       249
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11011000000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[6].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T7,T13
11CoveredT6,T7,T13

 LINE       249
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11011010000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[7].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T7,T13
11CoveredT6,T7,T13

 LINE       249
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11011111000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[8].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T7,T13
11CoveredT6,T7,T13

 LINE       249
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11101010000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[9].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T7,T13
11CoveredT6,T7,T13

 LINE       249
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11110101000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[10].PartEnd))
             -------------------1------------------   ---------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT6,T7,T13

 LINE       284
 EXPRESSION (tlul_part_sel_oh != '0)
            ------------1-----------
-1-StatusTests
0Not Covered
1CoveredT1,T2,T3

 LINE       293
 EXPRESSION (((|part_tlul_gnt)) | tlul_oob_err_q)
             ---------1--------   -------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

 LINE       294
 EXPRESSION (((|part_tlul_rvalid)) | tlul_oob_err_q)
             ----------1----------   -------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

 LINE       378
 EXPRESSION ((reg2hw.direct_access_regwen.qe && ((!reg2hw.direct_access_regwen.q))) ? 1'b0 : direct_access_regwen_q)
             -----------------------------------1----------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       378
 SUB-EXPRESSION (reg2hw.direct_access_regwen.qe && ((!reg2hw.direct_access_regwen.q)))
                 ---------------1--------------    -----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       382
 EXPRESSION (reg2hw.direct_access_cmd.digest.qe | reg2hw.direct_access_cmd.wr.qe | reg2hw.direct_access_cmd.rd.qe)
             -----------------1----------------   ---------------2--------------   ---------------3--------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001Not Covered
010Not Covered
100Not Covered

 LINE       399
 EXPRESSION (lci_prog_idle & dai_prog_idle)
             ------1------   ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       432
 EXPRESSION (fatal_bus_integ_error_q | ((|intg_error)))
             -----------1-----------   -------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT21,T22,T23
10Not Covered

 LINE       441
 EXPRESSION (part_error[k] == MacroError)
            --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       445
 EXPRESSION (part_error[k] == MacroEccUncorrError)
            -------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT9,T10,T67

 LINE       465
 EXPRESSION (fatal_macro_error_q || fatal_check_error_q)
             ---------1---------    ---------2---------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT8,T6,T7
10CoveredT5,T9,T10

 LINE       474
 EXPRESSION (chk_timeout | lfsr_fsm_err | scrmbl_fsm_err | ((|part_fsm_err)))
             -----1-----   ------2-----   -------3------   --------4--------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001CoveredT5,T9,T10
0010CoveredT21,T22,T23
0100CoveredT21,T22,T23
1000CoveredT6,T13,T14

 LINE       523
 EXPRESSION (direct_access_regwen_q & dai_idle)
             -----------1----------   ----2---
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       589
 SUB-EXPRESSION (reg2hw.alert_test.recov_prim_otp_alert.q & reg2hw.alert_test.recov_prim_otp_alert.qe)
                 --------------------1-------------------   --------------------2--------------------
-1--2-StatusTests
01CoveredT15,T173,T231
10CoveredT1,T2,T3
11CoveredT15,T173,T231

 LINE       589
 SUB-EXPRESSION (reg2hw.alert_test.fatal_prim_otp_alert.q & reg2hw.alert_test.fatal_prim_otp_alert.qe)
                 --------------------1-------------------   --------------------2--------------------
-1--2-StatusTests
01CoveredT15,T173,T231
10CoveredT1,T2,T3
11CoveredT15,T173,T231

 LINE       589
 SUB-EXPRESSION (reg2hw.alert_test.fatal_bus_integ_error.q & reg2hw.alert_test.fatal_bus_integ_error.qe)
                 --------------------1--------------------   ---------------------2--------------------
-1--2-StatusTests
01CoveredT15,T173,T231
10CoveredT1,T2,T3
11CoveredT15,T173,T231

 LINE       589
 SUB-EXPRESSION (reg2hw.alert_test.fatal_check_error.q & reg2hw.alert_test.fatal_check_error.qe)
                 ------------------1------------------   -------------------2------------------
-1--2-StatusTests
01CoveredT15,T173,T231
10CoveredT1,T2,T3
11CoveredT15,T173,T231

 LINE       589
 SUB-EXPRESSION (reg2hw.alert_test.fatal_macro_error.q & reg2hw.alert_test.fatal_macro_error.qe)
                 ------------------1------------------   -------------------2------------------
-1--2-StatusTests
01CoveredT15,T173,T231
10CoveredT1,T2,T3
11CoveredT15,T173,T231

 LINE       636
 EXPRESSION (reg2hw.check_trigger.integrity.q & reg2hw.check_trigger.integrity.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT1,T3,T4
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       638
 EXPRESSION (reg2hw.check_trigger.consistency.q & reg2hw.check_trigger.consistency.qe)
             -----------------1----------------   -----------------2-----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT3,T4,T8

 LINE       761
 EXPRESSION (otp_prim_ready & otp_rsp_fifo_ready)
             -------1------   ---------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       762
 EXPRESSION (otp_arb_valid & otp_rsp_fifo_ready)
             ------1------   ---------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       763
 EXPRESSION (otp_prim_ready & otp_prim_valid)
             -------1------   -------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       873
 EXPRESSION (otp_rvalid & otp_fifo_valid)
             -----1----   -------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       1417
 EXPRESSION (part_digest[Secret1Idx] != '0)
            ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       1435
 EXPRESSION ((part_digest[Secret0Idx] != '0) ? On : Off)
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       1435
 SUB-EXPRESSION (part_digest[Secret0Idx] != '0)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       1437
 EXPRESSION ((part_digest[Secret2Idx] != '0) ? On : Off)
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       1437
 SUB-EXPRESSION (part_digest[Secret2Idx] != '0)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       1439
 EXPRESSION ((part_digest[Secret2Idx] != '0) ? On : Off)
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       1439
 SUB-EXPRESSION (part_digest[Secret2Idx] != '0)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

Toggle Coverage for Module : otp_ctrl
TotalCoveredPercent
Totals 156 142 91.03
Total Bits 11096 9688 87.31
Total Bits 0->1 5548 4844 87.31
Total Bits 1->0 5548 4844 87.31

Ports 156 142 91.03
Port Bits 11096 9688 87.31
Port Bits 0->1 5548 4844 87.31
Port Bits 1->0 5548 4844 87.31

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
clk_edn_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_edn_ni Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
edn_o.edn_req Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
edn_i.edn_fips Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
edn_i.edn_ack Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
core_tl_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_user.instr_type[3:0] Yes Yes T11,T6,T7 Yes T11,T6,T7 INPUT
core_tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
core_tl_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_address[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_source[7:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
core_tl_i.a_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
core_tl_i.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_error Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_user.rsp_intg[5:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
core_tl_o.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
core_tl_o.d_source[7:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
core_tl_o.d_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
core_tl_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
core_tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
prim_tl_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
prim_tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
prim_tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
prim_tl_i.a_user.instr_type[3:0] Yes Yes T1,T2,T6 Yes T1,T2,T6 INPUT
prim_tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
prim_tl_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
prim_tl_i.a_mask[3:0] Yes Yes T1,T2,T6 Yes T1,T2,T6 INPUT
prim_tl_i.a_address[31:0] Yes Yes T1,T2,T6 Yes T1,T2,T6 INPUT
prim_tl_i.a_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
prim_tl_i.a_size[1:0] Yes Yes T1,T2,T6 Yes T1,T2,T6 INPUT
prim_tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
prim_tl_i.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
prim_tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
prim_tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
prim_tl_o.d_error Yes Yes T12,T6,T15 Yes T12,T6,T7 OUTPUT
prim_tl_o.d_user.data_intg[6:0] Yes Yes T12,T6,T7 Yes T12,T6,T7 OUTPUT
prim_tl_o.d_user.rsp_intg[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
prim_tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
prim_tl_o.d_data[31:0] Yes Yes T12,T6,T15 Yes T12,T6,T7 OUTPUT
prim_tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
prim_tl_o.d_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
prim_tl_o.d_size[1:0] Yes Yes T6,T7,T13 Yes T6,T7,T13 OUTPUT
prim_tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
prim_tl_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
prim_tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
prim_tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
intr_otp_operation_done_o Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
intr_otp_error_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T5,T9,T10 Yes T5,T9,T10 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[1].ack_p Yes Yes T8,T5,T9 Yes T8,T5,T9 INPUT
alert_rx_i[1].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[2].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[2].ack_p Yes Yes T15,T173,T231 Yes T15,T173,T231 INPUT
alert_rx_i[2].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[2].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[3].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[3].ack_p Yes Yes T15,T173,T231 Yes T15,T173,T231 INPUT
alert_rx_i[3].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[3].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[4].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[4].ack_p Yes Yes T15,T173,T231 Yes T15,T173,T231 INPUT
alert_rx_i[4].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[4].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T5,T9,T10 Yes T5,T9,T10 OUTPUT
alert_tx_o[1].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[1].alert_p Yes Yes T8,T5,T9 Yes T8,T5,T9 OUTPUT
alert_tx_o[2].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[2].alert_p Yes Yes T15,T173,T231 Yes T15,T173,T231 OUTPUT
alert_tx_o[3].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[3].alert_p Yes Yes T15,T173,T231 Yes T15,T173,T231 OUTPUT
alert_tx_o[4].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[4].alert_p Yes Yes T15,T173,T231 Yes T15,T173,T231 OUTPUT
obs_ctrl_i.obmen[3:0] No No No INPUT
obs_ctrl_i.obmsl[3:0] No No No INPUT
obs_ctrl_i.obgsl[3:0] No No No INPUT
otp_obs_o[7:0] Unreachable Unreachable Unreachable OUTPUT
otp_ast_pwr_seq_o.pwr_seq[1:0] No No No OUTPUT
otp_ast_pwr_seq_h_i.pwr_seq_h[1:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
pwr_otp_i.otp_init Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
pwr_otp_o.otp_idle Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
pwr_otp_o.otp_done Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
lc_otp_vendor_test_i.ctrl[31:0] No No No INPUT
lc_otp_vendor_test_o.status[31:0] No No No OUTPUT
lc_otp_program_i.count[383:0] Yes Yes T7,T65,T232 Yes T7,T65,T104 INPUT
lc_otp_program_i.state[319:0] Yes Yes T7,T65,T104 Yes T6,T7,T104 INPUT
lc_otp_program_i.req Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
lc_otp_program_o.ack Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
lc_otp_program_o.err Yes Yes T6,T104,T232 Yes T6,T104,T232 OUTPUT
lc_creator_seed_sw_rw_en_i[3:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
lc_owner_seed_sw_rw_en_i[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
lc_seed_hw_rd_en_i[3:0] Yes Yes T1,T2,T3 Yes T1,T3,T4 INPUT
lc_dft_en_i[3:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
lc_escalate_en_i[3:0] Yes Yes T8,T14,T16 Yes T8,T14,T16 INPUT
lc_check_byp_en_i[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
otp_lc_data_o.rma_token[127:0] Yes Yes T69,T106,T233 Yes T69,T106,T233 OUTPUT
otp_lc_data_o.rma_token_valid[3:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.test_exit_token[127:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.test_unlock_token[127:0] Yes Yes T14,T100,T76 Yes T14,T100,T103 OUTPUT
otp_lc_data_o.test_tokens_valid[3:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.secrets_valid[3:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[0] No No No OUTPUT
otp_lc_data_o.count[8:1] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[9] No No No OUTPUT
otp_lc_data_o.count[14:10] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[15] No No No OUTPUT
otp_lc_data_o.count[28:16] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[29] No No No OUTPUT
otp_lc_data_o.count[30] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[32:31] No No No OUTPUT
otp_lc_data_o.count[41:33] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[42] No No No OUTPUT
otp_lc_data_o.count[51:43] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[52] No No No OUTPUT
otp_lc_data_o.count[54:53] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[55] No No No OUTPUT
otp_lc_data_o.count[62:56] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[63] No No No OUTPUT
otp_lc_data_o.count[64] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[65] No No No OUTPUT
otp_lc_data_o.count[78:66] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[79] No No No OUTPUT
otp_lc_data_o.count[84:80] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[85] No No No OUTPUT
otp_lc_data_o.count[100:86] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[102:101] No No No OUTPUT
otp_lc_data_o.count[104:103] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[105] No No No OUTPUT
otp_lc_data_o.count[115:106] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[116] No No No OUTPUT
otp_lc_data_o.count[118:117] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[119] No No No OUTPUT
otp_lc_data_o.count[121:120] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[122] No No No OUTPUT
otp_lc_data_o.count[134:123] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[135] No No No OUTPUT
otp_lc_data_o.count[158:136] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[160:159] No No No OUTPUT
otp_lc_data_o.count[174:161] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[175] No No No OUTPUT
otp_lc_data_o.count[186:176] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[187] No No No OUTPUT
otp_lc_data_o.count[191:188] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[192] No No No OUTPUT
otp_lc_data_o.count[194:193] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[195] No No No OUTPUT
otp_lc_data_o.count[202:196] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[203] No No No OUTPUT
otp_lc_data_o.count[207:204] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[208] No No No OUTPUT
otp_lc_data_o.count[215:209] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[216] No No No OUTPUT
otp_lc_data_o.count[217] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[218] No No No OUTPUT
otp_lc_data_o.count[226:219] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[227] No No No OUTPUT
otp_lc_data_o.count[232:228] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[233] No No No OUTPUT
otp_lc_data_o.count[237:234] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[238] No No No OUTPUT
otp_lc_data_o.count[243:239] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[245:244] No No No OUTPUT
otp_lc_data_o.count[254:246] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[255] No No No OUTPUT
otp_lc_data_o.count[263:256] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[264] No No No OUTPUT
otp_lc_data_o.count[265] Yes Yes *T1,*T3,*T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[267:266] No No No OUTPUT
otp_lc_data_o.count[272:268] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[273] No No No OUTPUT
otp_lc_data_o.count[284:274] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[285] No No No OUTPUT
otp_lc_data_o.count[292:286] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[293] No No No OUTPUT
otp_lc_data_o.count[301:294] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[303:302] No No No OUTPUT
otp_lc_data_o.count[309:304] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[310] No No No OUTPUT
otp_lc_data_o.count[312:311] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[313] No No No OUTPUT
otp_lc_data_o.count[316:314] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[317] No No No OUTPUT
otp_lc_data_o.count[327:318] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[328] No No No OUTPUT
otp_lc_data_o.count[333:329] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[334] No No No OUTPUT
otp_lc_data_o.count[335] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[336] No No No OUTPUT
otp_lc_data_o.count[345:337] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[346] No No No OUTPUT
otp_lc_data_o.count[366:347] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[367] No No No OUTPUT
otp_lc_data_o.count[371:368] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[372] No No No OUTPUT
otp_lc_data_o.count[379:373] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[380] No No No OUTPUT
otp_lc_data_o.count[383:381] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[5:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[6] No No No OUTPUT
otp_lc_data_o.state[9:7] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[10] No No No OUTPUT
otp_lc_data_o.state[12:11] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[13] No No No OUTPUT
otp_lc_data_o.state[17:14] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[19:18] No No No OUTPUT
otp_lc_data_o.state[21:20] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[22] No No No OUTPUT
otp_lc_data_o.state[42:23] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[43] No No No OUTPUT
otp_lc_data_o.state[51:44] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[53:52] No No No OUTPUT
otp_lc_data_o.state[61:54] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[62] No No No OUTPUT
otp_lc_data_o.state[68:63] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[69] No No No OUTPUT
otp_lc_data_o.state[75:70] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[76] No No No OUTPUT
otp_lc_data_o.state[83:77] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[84] No No No OUTPUT
otp_lc_data_o.state[87:85] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[88] No No No OUTPUT
otp_lc_data_o.state[95:89] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[96] No No No OUTPUT
otp_lc_data_o.state[101:97] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[102] No No No OUTPUT
otp_lc_data_o.state[105:103] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[107:106] No No No OUTPUT
otp_lc_data_o.state[113:108] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[115:114] No No No OUTPUT
otp_lc_data_o.state[121:116] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[122] No No No OUTPUT
otp_lc_data_o.state[123] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[124] No No No OUTPUT
otp_lc_data_o.state[131:125] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[132] No No No OUTPUT
otp_lc_data_o.state[146:133] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[147] No No No OUTPUT
otp_lc_data_o.state[149:148] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[150] No No No OUTPUT
otp_lc_data_o.state[164:151] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[166:165] No No No OUTPUT
otp_lc_data_o.state[167] Yes Yes *T1,*T3,*T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[168] No No No OUTPUT
otp_lc_data_o.state[177:169] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[178] No No No OUTPUT
otp_lc_data_o.state[180:179] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[182:181] No No No OUTPUT
otp_lc_data_o.state[186:183] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[187] No No No OUTPUT
otp_lc_data_o.state[199:188] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[200] No No No OUTPUT
otp_lc_data_o.state[206:201] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[207] No No No OUTPUT
otp_lc_data_o.state[214:208] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[216:215] No No No OUTPUT
otp_lc_data_o.state[219:217] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[220] No No No OUTPUT
otp_lc_data_o.state[235:221] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[237:236] No No No OUTPUT
otp_lc_data_o.state[239:238] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[240] No No No OUTPUT
otp_lc_data_o.state[243:241] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[244] No No No OUTPUT
otp_lc_data_o.state[246:245] Yes Yes T1,T4,T111 Yes T1,T4,T111 OUTPUT
otp_lc_data_o.state[247] No No No OUTPUT
otp_lc_data_o.state[250:248] Yes Yes T1,T4,T111 Yes T1,T4,T111 OUTPUT
otp_lc_data_o.state[251] No No No OUTPUT
otp_lc_data_o.state[277:252] Yes Yes T1,T4,*T111 Yes T1,T4,T111 OUTPUT
otp_lc_data_o.state[279:278] No No No OUTPUT
otp_lc_data_o.state[306:280] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[308:307] No No No OUTPUT
otp_lc_data_o.state[311:309] Yes Yes T4,*T111,*T14 Yes T4,T111,T14 OUTPUT
otp_lc_data_o.state[312] No No No OUTPUT
otp_lc_data_o.state[316:313] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[317] No No No OUTPUT
otp_lc_data_o.state[319:318] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.error Yes Yes T8,T5,T9 Yes T8,T5,T9 OUTPUT
otp_lc_data_o.valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_keymgr_key_o.owner_seed_valid No No No OUTPUT
otp_keymgr_key_o.owner_seed[255:0] No No No OUTPUT
otp_keymgr_key_o.creator_seed_valid No No No OUTPUT
otp_keymgr_key_o.creator_seed[255:0] No No No OUTPUT
otp_keymgr_key_o.creator_root_key_share1_valid Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_keymgr_key_o.creator_root_key_share1[255:0] Yes Yes T14,T234,T106 Yes T14,T106,T78 OUTPUT
otp_keymgr_key_o.creator_root_key_share0_valid Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_keymgr_key_o.creator_root_key_share0[255:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
flash_otp_key_i.addr_req Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
flash_otp_key_i.data_req Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
flash_otp_key_o.seed_valid Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
flash_otp_key_o.rand_key[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
flash_otp_key_o.key[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
flash_otp_key_o.addr_ack Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
flash_otp_key_o.data_ack Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_i[0].req Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
sram_otp_key_i[1].req Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
sram_otp_key_i[2].req Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
sram_otp_key_i[3].req Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
sram_otp_key_o[0].seed_valid Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[0].nonce[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[0].key[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[0].ack Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[1].seed_valid Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[1].nonce[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[1].key[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[1].ack Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[2].seed_valid Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[2].nonce[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[2].key[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[2].ack Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[3].seed_valid Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[3].nonce[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[3].key[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[3].ack Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otbn_otp_key_i.req Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
otbn_otp_key_o.seed_valid Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otbn_otp_key_o.nonce[63:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otbn_otp_key_o.key[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otbn_otp_key_o.ack Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_broadcast_o.hw_cfg0_data.device_id[255:0] Yes Yes T14,T46,T78 Yes T14,T112,T234 OUTPUT
otp_broadcast_o.hw_cfg0_data.manuf_state[255:0] Yes Yes T14,T69,T129 Yes T14,T69,T112 OUTPUT
otp_broadcast_o.hw_cfg0_data.hw_cfg0_digest[63:0] Yes Yes T3,T4,T14 Yes T3,T4,T14 OUTPUT
otp_broadcast_o.hw_cfg1_data.en_sram_ifetch[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_broadcast_o.hw_cfg1_data.en_csrng_sw_app_read[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_broadcast_o.hw_cfg1_data.dis_rv_dm_late_debug[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_broadcast_o.hw_cfg1_data.unallocated[39:0] Yes Yes T10,T14,T69 Yes T10,T14,T69 OUTPUT
otp_broadcast_o.hw_cfg1_data.hw_cfg1_digest[63:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_broadcast_o.valid[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_ext_voltage_h_io No No No INOUT
scan_en_i Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
scan_rst_ni Yes Yes T3,T4,T5 Yes T1,T3,T4 INPUT
scanmode_i[3:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
cio_test_o[7:0] No No No OUTPUT
cio_test_en_o[7:0] Yes Yes T12,T6,T7 Yes T12,T6,T15 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Module : otp_ctrl
Line No.TotalCoveredPercent
Branches 29 27 93.10
TERNARY 378 2 1 50.00
TERNARY 1435 2 2 100.00
TERNARY 1437 2 2 100.00
TERNARY 1439 2 2 100.00
IF 283 3 2 66.67
IF 304 2 2 100.00
IF 330 2 2 100.00
IF 337 2 2 100.00
IF 403 2 2 100.00
IF 444 2 2 100.00
IF 465 2 2 100.00
IF 468 2 2 100.00
IF 495 2 2 100.00
IF 987 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 378 ((reg2hw.direct_access_regwen.qe && (!reg2hw.direct_access_regwen.q))) ?

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 1435 ((part_digest[Secret0Idx] != '0)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 1437 ((part_digest[Secret2Idx] != '0)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 1439 ((part_digest[Secret2Idx] != '0)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 283 if (tlul_req) -2-: 284 if ((tlul_part_sel_oh != '0))

Branches:
-1--2-StatusTests
1 1 Covered T1,T2,T3
1 0 Not Covered
0 - Covered T1,T2,T3


LineNo. Expression -1-: 304 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 330 if (lc_ctrl_pkg::lc_tx_test_false_loose(lc_creator_seed_sw_rw_en))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 337 if (lc_ctrl_pkg::lc_tx_test_false_loose(lc_owner_seed_sw_rw_en))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 403 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 444 if (otp_ctrl_part_pkg::PartInfo[k].integrity)

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 465 if ((fatal_macro_error_q || fatal_check_error_q))

Branches:
-1-StatusTests
1 Covered T8,T5,T9
0 Covered T1,T2,T3


LineNo. Expression -1-: 468 if (lc_ctrl_pkg::lc_tx_test_true_strict(lc_escalate_en[k]))

Branches:
-1-StatusTests
1 Covered T8,T5,T9
0 Covered T1,T2,T3


LineNo. Expression -1-: 495 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 987 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Module : otp_ctrl
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 71 71 100.00 69 97.18
Cover properties 0 0 0
Cover sequences 0 0 0
Total 71 71 100.00 69 97.18




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertTxKnown_A 462139186 461300521 0 0
CoreTlOutKnown_A 462139186 461300521 0 0
CreatorRootKeyShare0Size_A 1149 1149 0 0
CreatorRootKeyShare1Size_A 1149 1149 0 0
ErrorCodeWidth_A 1149 1149 0 0
FlashAddrKeySeedSize_A 1149 1149 0 0
FlashDataKeySeedSize_A 1149 1149 0 0
FlashOtpKeyRspKnown_A 462139186 461300521 0 0
FpvSecCmCntCnstyCheck_A 462139186 50 0 0
FpvSecCmCntDaiCheck_A 462139186 50 0 0
FpvSecCmCntIntegCheck_A 462139186 50 0 0
FpvSecCmCntKdiEntropyCheck_A 462139186 50 0 0
FpvSecCmCntKdiSeedCheck_A 462139186 50 0 0
FpvSecCmCntLciCheck_A 462139186 50 0 0
FpvSecCmCntScrmblCheck_A 462139186 50 0 0
FpvSecCmCtrlDaiFsmCheck_A 462139186 50 0 0
FpvSecCmCtrlKdiFsmCheck_A 462139186 50 0 0
FpvSecCmCtrlLciFsmCheck_A 462139186 50 0 0
FpvSecCmCtrlLfsrTimerFsmCheck_A 462139186 50 0 0
FpvSecCmCtrlScrambleFsmCheck_A 462139186 50 0 0
FpvSecCmDoubleLfsrCheck_A 462139186 50 0 0
FpvSecCmRegWeOnehotCheck_A 462139186 50 0 0
FpvSecCmTlLcGateFsm_A 462139186 50 0 0
IntrOtpErrorKnown_A 462139186 461300521 0 0
IntrOtpOperationDoneKnown_A 462139186 461300521 0 0
LcOtpProgramRspKnown_A 462139186 461300521 0 0
LcSeedHwRdEnStable0_A 462139186 2124 0 0
LcSeedHwRdEnStable1_A 462139186 2124 0 0
LcSeedHwRdEnStable2_A 462139186 0 0 0
LcSeedHwRdEnStable3_A 462139186 0 0 0
LcStateSize_A 1149 1149 0 0
LcTransitionCntSize_A 1149 1149 0 0
OtpAstPwrSeqKnown_A 462139186 461300521 0 0
OtpBroadcastKnown_A 462139186 461300521 0 0
OtpErrorCode0_A 1149 1149 0 0
OtpErrorCode1_A 1149 1149 0 0
OtpErrorCode2_A 1149 1149 0 0
OtpErrorCode3_A 1149 1149 0 0
OtpErrorCode4_A 1149 1149 0 0
OtpIfWidth_A 1149 1149 0 0
OtpKeymgrKeyKnown_A 462139186 461300521 0 0
OtpLcDataKnown_A 462139186 461300521 0 0
OtpOtgnKeyKnown_A 462139186 461300521 0 0
OtpRespFifoUnderflow_A 462139186 1297911 0 0
OtpSramKeyKnown_A 462139186 461300521 0 0
PartSelMustBeOnehot_A 462139186 461300521 0 0
PrimTlOutKnown_A 462139186 461300521 0 0
PwrOtpInitRspKnown_A 462139186 461300521 0 0
RmaTokenSize_A 1149 1149 0 0
SramDataKeySeedSize_A 1149 1149 0 0
TestExitTokenSize_A 1149 1149 0 0
TestUnlockTokenSize_A 1149 1149 0 0
gen_partitions[0].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A 462139186 50 0 0
gen_partitions[10].gen_lifecycle.FpvSecCmCntPartLcCheck_A 462139186 50 0 0
gen_partitions[10].gen_lifecycle.FpvSecCmCtrlPartLcFsmCheck_A 462139186 50 0 0
gen_partitions[1].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A 462139186 50 0 0
gen_partitions[2].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A 462139186 50 0 0
gen_partitions[3].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A 462139186 50 0 0
gen_partitions[4].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A 462139186 50 0 0
gen_partitions[5].gen_buffered.FpvSecCmCntPartBufCheck_A 462139186 50 0 0
gen_partitions[5].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A 462139186 50 0 0
gen_partitions[6].gen_buffered.FpvSecCmCntPartBufCheck_A 462139186 50 0 0
gen_partitions[6].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A 462139186 50 0 0
gen_partitions[7].gen_buffered.FpvSecCmCntPartBufCheck_A 462139186 50 0 0
gen_partitions[7].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A 462139186 50 0 0
gen_partitions[8].gen_buffered.FpvSecCmCntPartBufCheck_A 462139186 50 0 0
gen_partitions[8].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A 462139186 50 0 0
gen_partitions[9].gen_buffered.FpvSecCmCntPartBufCheck_A 462139186 50 0 0
gen_partitions[9].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A 462139186 50 0 0
gen_reg_we_assert_generic.FpvSecCmPrimFsmCheck_A 462139186 50 0 0
gen_reg_we_assert_generic.FpvSecCmPrimRegWeOnehotCheck_A 462139186 50 0 0


AlertTxKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

CoreTlOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

CreatorRootKeyShare0Size_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

CreatorRootKeyShare1Size_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

ErrorCodeWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

FlashAddrKeySeedSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

FlashDataKeySeedSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

FlashOtpKeyRspKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

FpvSecCmCntCnstyCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCntDaiCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCntIntegCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCntKdiEntropyCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCntKdiSeedCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCntLciCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCntScrmblCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCtrlDaiFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCtrlKdiFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCtrlLciFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCtrlLfsrTimerFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCtrlScrambleFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmDoubleLfsrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmTlLcGateFsm_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

IntrOtpErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

IntrOtpOperationDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

LcOtpProgramRspKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

LcSeedHwRdEnStable0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 2124 0 0
T1 47671 6 0 0
T2 17694 0 0 0
T3 53055 11 0 0
T4 44993 8 0 0
T5 18174 0 0 0
T8 40211 0 0 0
T9 19474 0 0 0
T10 16051 0 0 0
T11 15094 0 0 0
T12 60034 2 0 0
T14 0 60 0 0
T34 0 5 0 0
T69 0 6 0 0
T100 0 5 0 0
T101 0 12 0 0
T110 0 2 0 0

LcSeedHwRdEnStable1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 2124 0 0
T1 47671 6 0 0
T2 17694 0 0 0
T3 53055 11 0 0
T4 44993 8 0 0
T5 18174 0 0 0
T8 40211 0 0 0
T9 19474 0 0 0
T10 16051 0 0 0
T11 15094 0 0 0
T12 60034 2 0 0
T14 0 60 0 0
T34 0 5 0 0
T69 0 6 0 0
T100 0 5 0 0
T101 0 12 0 0
T110 0 2 0 0

LcSeedHwRdEnStable2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 0 0 0

LcSeedHwRdEnStable3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 0 0 0

LcStateSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

LcTransitionCntSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpAstPwrSeqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

OtpBroadcastKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

OtpErrorCode0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpErrorCode1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpErrorCode2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpErrorCode3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpErrorCode4_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpIfWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpKeymgrKeyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

OtpLcDataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

OtpOtgnKeyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

OtpRespFifoUnderflow_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 1297911 0 0
T1 47671 2374 0 0
T2 17694 131 0 0
T3 53055 1117 0 0
T4 44993 1848 0 0
T5 18174 209 0 0
T8 40211 198 0 0
T9 19474 207 0 0
T10 16051 198 0 0
T11 15094 166 0 0
T12 60034 1125 0 0

OtpSramKeyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

PartSelMustBeOnehot_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

PrimTlOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

PwrOtpInitRspKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

RmaTokenSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

SramDataKeySeedSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

TestExitTokenSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

TestUnlockTokenSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

gen_partitions[0].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[10].gen_lifecycle.FpvSecCmCntPartLcCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[10].gen_lifecycle.FpvSecCmCtrlPartLcFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[1].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[2].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[3].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[4].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[5].gen_buffered.FpvSecCmCntPartBufCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[5].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[6].gen_buffered.FpvSecCmCntPartBufCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[6].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[7].gen_buffered.FpvSecCmCntPartBufCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[7].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[8].gen_buffered.FpvSecCmCntPartBufCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[8].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[9].gen_buffered.FpvSecCmCntPartBufCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[9].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_reg_we_assert_generic.FpvSecCmPrimFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_reg_we_assert_generic.FpvSecCmPrimRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

Line Coverage for Instance : tb.dut
Line No.TotalCoveredPercent
TOTAL15415097.40
CONT_ASSIGN24711100.00
CONT_ASSIGN24911100.00
CONT_ASSIGN24911100.00
CONT_ASSIGN24911100.00
CONT_ASSIGN24911100.00
CONT_ASSIGN24911100.00
CONT_ASSIGN24911100.00
CONT_ASSIGN24911100.00
CONT_ASSIGN24911100.00
CONT_ASSIGN24911100.00
CONT_ASSIGN24911100.00
ALWAYS2801313100.00
ALWAYS30433100.00
ALWAYS3201010100.00
CONT_ASSIGN37811100.00
CONT_ASSIGN38211100.00
CONT_ASSIGN38611100.00
CONT_ASSIGN39011100.00
CONT_ASSIGN39111100.00
CONT_ASSIGN39911100.00
CONT_ASSIGN40011100.00
ALWAYS40355100.00
ALWAYS4301919100.00
CONT_ASSIGN48411100.00
CONT_ASSIGN49211100.00
ALWAYS49599100.00
ALWAYS5171010100.00
CONT_ASSIGN58111100.00
CONT_ASSIGN58911100.00
CONT_ASSIGN63611100.00
CONT_ASSIGN63811100.00
CONT_ASSIGN76111100.00
CONT_ASSIGN76211100.00
CONT_ASSIGN76311100.00
CONT_ASSIGN79311100.00
CONT_ASSIGN79511100.00
ALWAYS87222100.00
ALWAYS93022100.00
ALWAYS95744100.00
CONT_ASSIGN98411100.00
ALWAYS98733100.00
CONT_ASSIGN103911100.00
CONT_ASSIGN104111100.00
CONT_ASSIGN1075100.00
CONT_ASSIGN1126100.00
CONT_ASSIGN118111100.00
CONT_ASSIGN118111100.00
CONT_ASSIGN118111100.00
CONT_ASSIGN118111100.00
CONT_ASSIGN118111100.00
CONT_ASSIGN123611100.00
CONT_ASSIGN1236100.00
CONT_ASSIGN123611100.00
CONT_ASSIGN123611100.00
CONT_ASSIGN123611100.00
CONT_ASSIGN129611100.00
CONT_ASSIGN1308100.00
CONT_ASSIGN133211100.00
ALWAYS134422100.00
CONT_ASSIGN135811100.00
ALWAYS138699100.00
CONT_ASSIGN141711100.00
CONT_ASSIGN141811100.00
CONT_ASSIGN142011100.00
CONT_ASSIGN142211100.00
CONT_ASSIGN142611100.00
CONT_ASSIGN142811100.00
CONT_ASSIGN143011100.00
CONT_ASSIGN143511100.00
CONT_ASSIGN143711100.00
CONT_ASSIGN143911100.00
CONT_ASSIGN147111100.00
CONT_ASSIGN147311100.00
CONT_ASSIGN147711100.00
CONT_ASSIGN148111100.00
CONT_ASSIGN148511100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
247 1 1
249 10 10
280 1 1
281 1 1
282 1 1
283 1 1
284 1 1
285 1 1
288 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
299 1 1
304 1 1
305 1 1
307 1 1
320 1 1
325 1 1
326 1 1
330 1 1
331 1 1
332 1 1
333 1 1
MISSING_ELSE
MISSING_ELSE
337 1 1
338 1 1
339 1 1
340 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
MISSING_ELSE
378 1 1
382 1 1
386 1 1
390 1 1
391 1 1
399 1 1
400 1 1
403 1 1
404 1 1
406 1 1
408 1 1
409 1 1
430 1 1
431 1 1
432 1 1
434 1 1
436 1 1
439 1 1
441 1 1
444 1 1
445 1 1
MISSING_ELSE
449 1 1
451 1 1
455 1 1
458 1 1
460 1 1
465 1 1
466 1 1
MISSING_ELSE
468 1 1
469 1 1
MISSING_ELSE
474 1 1
484 1 1
492 1 1
495 1 1
496 1 1
497 1 1
498 1 1
499 1 1
501 1 1
502 1 1
503 1 1
504 1 1
517 1 1
519 1 1
521 1 1
523 1 1
525 1 1
534 1 1
536 1 1
537 1 1
538 1 1
539 1 1
581 1 1
589 1 1
636 1 1
638 1 1
761 1 1
762 1 1
763 1 1
793 1 1
795 1 1
872 1 1
873 1 1
930 1 1
931 1 1
957 1 1
958 1 1
959 1 1
960 1 1
984 1 1
987 1 1
988 1 1
990 1 1
1039 1 1
1041 1 1
1075 0 1
1126 0 1
1181 5 5
1236 4 5
1296 1 1
1308 0 1
1332 1 1
1344 1 1
1345 1 1
1358 1 1
1386 1 1
1387 1 1
1388 1 1
1389 1 1
1391 1 1
1392 1 1
1393 1 1
1394 1 1
1395 1 1
1417 1 1
1418 1 1
1420 1 1
1422 1 1
1426 1 1
1428 1 1
1430 1 1
1435 1 1
1437 1 1
1439 1 1
1471 1 1
1473 1 1
1477 1 1
1481 1 1
1485 1 1


Cond Coverage for Instance : tb.dut
TotalCoveredPercent
Conditions10410096.15
Logical10410096.15
Non-Logical00
Event00

 LINE       249
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b00001000000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[1].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       249
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b00111101000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[2].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       249
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b10001111000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[3].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T8
11CoveredT1,T2,T3

 LINE       249
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11001010000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[4].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T7,T13
11CoveredT1,T3,T8

 LINE       249
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11001111000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[5].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T7,T13
11CoveredT6,T7,T13

 LINE       249
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11011000000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[6].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T7,T13
11CoveredT6,T7,T13

 LINE       249
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11011010000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[7].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T7,T13
11CoveredT6,T7,T13

 LINE       249
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11011111000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[8].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T7,T13
11CoveredT6,T7,T13

 LINE       249
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11101010000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[9].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T7,T13
11CoveredT6,T7,T13

 LINE       249
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11110101000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[10].PartEnd))
             -------------------1------------------   ---------------------------2--------------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded vcs_gen_start:k=10:vcs_gen_end:VC_COV_UNR
11CoveredT6,T7,T13

 LINE       284
 EXPRESSION (tlul_part_sel_oh != '0)
            ------------1-----------
-1-StatusTestsExclude Annotation
0Excluded VC_COV_UNR
1CoveredT1,T2,T3

 LINE       293
 EXPRESSION (((|part_tlul_gnt)) | tlul_oob_err_q)
             ---------1--------   -------2------
-1--2-StatusTestsExclude Annotation
00CoveredT1,T2,T3
01Excluded VC_COV_UNR
10CoveredT1,T2,T3

 LINE       294
 EXPRESSION (((|part_tlul_rvalid)) | tlul_oob_err_q)
             ----------1----------   -------2------
-1--2-StatusTestsExclude Annotation
00CoveredT1,T2,T3
01Excluded VC_COV_UNR
10CoveredT1,T2,T3

 LINE       378
 EXPRESSION ((reg2hw.direct_access_regwen.qe && ((!reg2hw.direct_access_regwen.q))) ? 1'b0 : direct_access_regwen_q)
             -----------------------------------1----------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       378
 SUB-EXPRESSION (reg2hw.direct_access_regwen.qe && ((!reg2hw.direct_access_regwen.q)))
                 ---------------1--------------    -----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       382
 EXPRESSION (reg2hw.direct_access_cmd.digest.qe | reg2hw.direct_access_cmd.wr.qe | reg2hw.direct_access_cmd.rd.qe)
             -----------------1----------------   ---------------2--------------   ---------------3--------------
-1--2--3-StatusTestsExclude Annotation
000CoveredT1,T2,T3
001Excluded VC_COV_UNR
010Excluded VC_COV_UNR
100Excluded VC_COV_UNR

 LINE       399
 EXPRESSION (lci_prog_idle & dai_prog_idle)
             ------1------   ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       432
 EXPRESSION (fatal_bus_integ_error_q | ((|intg_error)))
             -----------1-----------   -------2-------
-1--2-StatusTestsExclude Annotation
00CoveredT1,T2,T3
01CoveredT21,T22,T23
10Excluded VC_COV_UNR

 LINE       441
 EXPRESSION (part_error[k] == MacroError)
            --------------1--------------
-1-StatusTestsExclude Annotation
0CoveredT1,T2,T3
1Excluded VC_COV_UNR

 LINE       445
 EXPRESSION (part_error[k] == MacroEccUncorrError)
            -------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT9,T10,T67

 LINE       465
 EXPRESSION (fatal_macro_error_q || fatal_check_error_q)
             ---------1---------    ---------2---------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT8,T6,T7
10CoveredT5,T9,T10

 LINE       474
 EXPRESSION (chk_timeout | lfsr_fsm_err | scrmbl_fsm_err | ((|part_fsm_err)))
             -----1-----   ------2-----   -------3------   --------4--------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001CoveredT5,T9,T10
0010CoveredT21,T22,T23
0100CoveredT21,T22,T23
1000CoveredT6,T13,T14

 LINE       523
 EXPRESSION (direct_access_regwen_q & dai_idle)
             -----------1----------   ----2---
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       589
 SUB-EXPRESSION (reg2hw.alert_test.recov_prim_otp_alert.q & reg2hw.alert_test.recov_prim_otp_alert.qe)
                 --------------------1-------------------   --------------------2--------------------
-1--2-StatusTests
01CoveredT15,T173,T231
10CoveredT1,T2,T3
11CoveredT15,T173,T231

 LINE       589
 SUB-EXPRESSION (reg2hw.alert_test.fatal_prim_otp_alert.q & reg2hw.alert_test.fatal_prim_otp_alert.qe)
                 --------------------1-------------------   --------------------2--------------------
-1--2-StatusTests
01CoveredT15,T173,T231
10CoveredT1,T2,T3
11CoveredT15,T173,T231

 LINE       589
 SUB-EXPRESSION (reg2hw.alert_test.fatal_bus_integ_error.q & reg2hw.alert_test.fatal_bus_integ_error.qe)
                 --------------------1--------------------   ---------------------2--------------------
-1--2-StatusTests
01CoveredT15,T173,T231
10CoveredT1,T2,T3
11CoveredT15,T173,T231

 LINE       589
 SUB-EXPRESSION (reg2hw.alert_test.fatal_check_error.q & reg2hw.alert_test.fatal_check_error.qe)
                 ------------------1------------------   -------------------2------------------
-1--2-StatusTests
01CoveredT15,T173,T231
10CoveredT1,T2,T3
11CoveredT15,T173,T231

 LINE       589
 SUB-EXPRESSION (reg2hw.alert_test.fatal_macro_error.q & reg2hw.alert_test.fatal_macro_error.qe)
                 ------------------1------------------   -------------------2------------------
-1--2-StatusTests
01CoveredT15,T173,T231
10CoveredT1,T2,T3
11CoveredT15,T173,T231

 LINE       636
 EXPRESSION (reg2hw.check_trigger.integrity.q & reg2hw.check_trigger.integrity.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT1,T3,T4
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       638
 EXPRESSION (reg2hw.check_trigger.consistency.q & reg2hw.check_trigger.consistency.qe)
             -----------------1----------------   -----------------2-----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT3,T4,T8

 LINE       761
 EXPRESSION (otp_prim_ready & otp_rsp_fifo_ready)
             -------1------   ---------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       762
 EXPRESSION (otp_arb_valid & otp_rsp_fifo_ready)
             ------1------   ---------2--------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T3

 LINE       763
 EXPRESSION (otp_prim_ready & otp_prim_valid)
             -------1------   -------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       873
 EXPRESSION (otp_rvalid & otp_fifo_valid)
             -----1----   -------2------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T3

 LINE       1417
 EXPRESSION (part_digest[Secret1Idx] != '0)
            ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       1435
 EXPRESSION ((part_digest[Secret0Idx] != '0) ? On : Off)
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       1435
 SUB-EXPRESSION (part_digest[Secret0Idx] != '0)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       1437
 EXPRESSION ((part_digest[Secret2Idx] != '0) ? On : Off)
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       1437
 SUB-EXPRESSION (part_digest[Secret2Idx] != '0)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       1439
 EXPRESSION ((part_digest[Secret2Idx] != '0) ? On : Off)
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       1439
 SUB-EXPRESSION (part_digest[Secret2Idx] != '0)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

Toggle Coverage for Instance : tb.dut
TotalCoveredPercent
Totals 149 142 95.30
Total Bits 9984 9688 97.04
Total Bits 0->1 4992 4844 97.04
Total Bits 1->0 4992 4844 97.04

Ports 149 142 95.30
Port Bits 9984 9688 97.04
Port Bits 0->1 4992 4844 97.04
Port Bits 1->0 4992 4844 97.04

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirectionExclude Annotation
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
clk_edn_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_edn_ni Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
edn_o.edn_req Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
edn_i.edn_fips Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
edn_i.edn_ack Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
core_tl_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_user.instr_type[3:0] Yes Yes T11,T6,T7 Yes T11,T6,T7 INPUT
core_tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
core_tl_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_address[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_source[7:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
core_tl_i.a_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
core_tl_i.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_error Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_user.rsp_intg[5:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
core_tl_o.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
core_tl_o.d_source[7:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
core_tl_o.d_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
core_tl_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
core_tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
prim_tl_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
prim_tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
prim_tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
prim_tl_i.a_user.instr_type[3:0] Yes Yes T1,T2,T6 Yes T1,T2,T6 INPUT
prim_tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
prim_tl_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
prim_tl_i.a_mask[3:0] Yes Yes T1,T2,T6 Yes T1,T2,T6 INPUT
prim_tl_i.a_address[31:0] Yes Yes T1,T2,T6 Yes T1,T2,T6 INPUT
prim_tl_i.a_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
prim_tl_i.a_size[1:0] Yes Yes T1,T2,T6 Yes T1,T2,T6 INPUT
prim_tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
prim_tl_i.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
prim_tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
prim_tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
prim_tl_o.d_error Yes Yes T12,T6,T15 Yes T12,T6,T7 OUTPUT
prim_tl_o.d_user.data_intg[6:0] Yes Yes T12,T6,T7 Yes T12,T6,T7 OUTPUT
prim_tl_o.d_user.rsp_intg[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
prim_tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
prim_tl_o.d_data[31:0] Yes Yes T12,T6,T15 Yes T12,T6,T7 OUTPUT
prim_tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
prim_tl_o.d_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
prim_tl_o.d_size[1:0] Yes Yes T6,T7,T13 Yes T6,T7,T13 OUTPUT
prim_tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
prim_tl_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
prim_tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
prim_tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
intr_otp_operation_done_o Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
intr_otp_error_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T5,T9,T10 Yes T5,T9,T10 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[1].ack_p Yes Yes T8,T5,T9 Yes T8,T5,T9 INPUT
alert_rx_i[1].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[2].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[2].ack_p Yes Yes T15,T173,T231 Yes T15,T173,T231 INPUT
alert_rx_i[2].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[2].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[3].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[3].ack_p Yes Yes T15,T173,T231 Yes T15,T173,T231 INPUT
alert_rx_i[3].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[3].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[4].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[4].ack_p Yes Yes T15,T173,T231 Yes T15,T173,T231 INPUT
alert_rx_i[4].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[4].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T5,T9,T10 Yes T5,T9,T10 OUTPUT
alert_tx_o[1].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[1].alert_p Yes Yes T8,T5,T9 Yes T8,T5,T9 OUTPUT
alert_tx_o[2].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[2].alert_p Yes Yes T15,T173,T231 Yes T15,T173,T231 OUTPUT
alert_tx_o[3].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[3].alert_p Yes Yes T15,T173,T231 Yes T15,T173,T231 OUTPUT
alert_tx_o[4].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[4].alert_p Yes Yes T15,T173,T231 Yes T15,T173,T231 OUTPUT
obs_ctrl_i.obmen[3:0] No No No INPUT
obs_ctrl_i.obmsl[3:0] No No No INPUT
obs_ctrl_i.obgsl[3:0] No No No INPUT
otp_obs_o[7:0] Unreachable Unreachable Unreachable OUTPUT
otp_ast_pwr_seq_o.pwr_seq[1:0] Excluded Excluded Excluded OUTPUT 0->1:VC_COV_UNR / 1->0:VC_COV_UNR
otp_ast_pwr_seq_h_i.pwr_seq_h[1:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
pwr_otp_i.otp_init Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
pwr_otp_o.otp_idle Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
pwr_otp_o.otp_done Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
lc_otp_vendor_test_i.ctrl[31:0] No No No INPUT
lc_otp_vendor_test_o.status[31:0] Excluded Excluded Excluded OUTPUT 0->1:VC_COV_UNR / 1->0:VC_COV_UNR
lc_otp_program_i.count[383:0] Yes Yes T7,T65,T232 Yes T7,T65,T104 INPUT
lc_otp_program_i.state[319:0] Yes Yes T7,T65,T104 Yes T6,T7,T104 INPUT
lc_otp_program_i.req Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
lc_otp_program_o.ack Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
lc_otp_program_o.err Yes Yes T6,T104,T232 Yes T6,T104,T232 OUTPUT
lc_creator_seed_sw_rw_en_i[3:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
lc_owner_seed_sw_rw_en_i[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
lc_seed_hw_rd_en_i[3:0] Yes Yes T1,T2,T3 Yes T1,T3,T4 INPUT
lc_dft_en_i[3:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
lc_escalate_en_i[3:0] Yes Yes T8,T14,T16 Yes T8,T14,T16 INPUT
lc_check_byp_en_i[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
otp_lc_data_o.rma_token[127:0] Yes Yes T69,T106,T233 Yes T69,T106,T233 OUTPUT
otp_lc_data_o.rma_token_valid[3:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.test_exit_token[127:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.test_unlock_token[127:0] Yes Yes T14,T100,T76 Yes T14,T100,T103 OUTPUT
otp_lc_data_o.test_tokens_valid[3:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.secrets_valid[3:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[0] No No No OUTPUT
otp_lc_data_o.count[8:1] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[9] No No No OUTPUT
otp_lc_data_o.count[14:10] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[15] No No No OUTPUT
otp_lc_data_o.count[28:16] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[29] No No No OUTPUT
otp_lc_data_o.count[30] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[32:31] No No No OUTPUT
otp_lc_data_o.count[41:33] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[42] No No No OUTPUT
otp_lc_data_o.count[51:43] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[52] No No No OUTPUT
otp_lc_data_o.count[54:53] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[55] No No No OUTPUT
otp_lc_data_o.count[62:56] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[63] No No No OUTPUT
otp_lc_data_o.count[64] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[65] No No No OUTPUT
otp_lc_data_o.count[78:66] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[79] No No No OUTPUT
otp_lc_data_o.count[84:80] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[85] No No No OUTPUT
otp_lc_data_o.count[100:86] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[102:101] No No No OUTPUT
otp_lc_data_o.count[104:103] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[105] No No No OUTPUT
otp_lc_data_o.count[115:106] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[116] No No No OUTPUT
otp_lc_data_o.count[118:117] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[119] No No No OUTPUT
otp_lc_data_o.count[121:120] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[122] No No No OUTPUT
otp_lc_data_o.count[134:123] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[135] No No No OUTPUT
otp_lc_data_o.count[158:136] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[160:159] No No No OUTPUT
otp_lc_data_o.count[174:161] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[175] No No No OUTPUT
otp_lc_data_o.count[186:176] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[187] No No No OUTPUT
otp_lc_data_o.count[191:188] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[192] No No No OUTPUT
otp_lc_data_o.count[194:193] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[195] No No No OUTPUT
otp_lc_data_o.count[202:196] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[203] No No No OUTPUT
otp_lc_data_o.count[207:204] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[208] No No No OUTPUT
otp_lc_data_o.count[215:209] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[216] No No No OUTPUT
otp_lc_data_o.count[217] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[218] No No No OUTPUT
otp_lc_data_o.count[226:219] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[227] No No No OUTPUT
otp_lc_data_o.count[232:228] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[233] No No No OUTPUT
otp_lc_data_o.count[237:234] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[238] No No No OUTPUT
otp_lc_data_o.count[243:239] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[245:244] No No No OUTPUT
otp_lc_data_o.count[254:246] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[255] No No No OUTPUT
otp_lc_data_o.count[263:256] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[264] No No No OUTPUT
otp_lc_data_o.count[265] Yes Yes *T1,*T3,*T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[267:266] No No No OUTPUT
otp_lc_data_o.count[272:268] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[273] No No No OUTPUT
otp_lc_data_o.count[284:274] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[285] No No No OUTPUT
otp_lc_data_o.count[292:286] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[293] No No No OUTPUT
otp_lc_data_o.count[301:294] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[303:302] No No No OUTPUT
otp_lc_data_o.count[309:304] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[310] No No No OUTPUT
otp_lc_data_o.count[312:311] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[313] No No No OUTPUT
otp_lc_data_o.count[316:314] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[317] No No No OUTPUT
otp_lc_data_o.count[327:318] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[328] No No No OUTPUT
otp_lc_data_o.count[333:329] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[334] No No No OUTPUT
otp_lc_data_o.count[335] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[336] No No No OUTPUT
otp_lc_data_o.count[345:337] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[346] No No No OUTPUT
otp_lc_data_o.count[366:347] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[367] No No No OUTPUT
otp_lc_data_o.count[371:368] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[372] No No No OUTPUT
otp_lc_data_o.count[379:373] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.count[380] No No No OUTPUT
otp_lc_data_o.count[383:381] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[5:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[6] No No No OUTPUT
otp_lc_data_o.state[9:7] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[10] No No No OUTPUT
otp_lc_data_o.state[12:11] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[13] No No No OUTPUT
otp_lc_data_o.state[17:14] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[19:18] No No No OUTPUT
otp_lc_data_o.state[21:20] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[22] No No No OUTPUT
otp_lc_data_o.state[42:23] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[43] No No No OUTPUT
otp_lc_data_o.state[51:44] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[53:52] No No No OUTPUT
otp_lc_data_o.state[61:54] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[62] No No No OUTPUT
otp_lc_data_o.state[68:63] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[69] No No No OUTPUT
otp_lc_data_o.state[75:70] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[76] No No No OUTPUT
otp_lc_data_o.state[83:77] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[84] No No No OUTPUT
otp_lc_data_o.state[87:85] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[88] No No No OUTPUT
otp_lc_data_o.state[95:89] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[96] No No No OUTPUT
otp_lc_data_o.state[101:97] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[102] No No No OUTPUT
otp_lc_data_o.state[105:103] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[107:106] No No No OUTPUT
otp_lc_data_o.state[113:108] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[115:114] No No No OUTPUT
otp_lc_data_o.state[121:116] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[122] No No No OUTPUT
otp_lc_data_o.state[123] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[124] No No No OUTPUT
otp_lc_data_o.state[131:125] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[132] No No No OUTPUT
otp_lc_data_o.state[146:133] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[147] No No No OUTPUT
otp_lc_data_o.state[149:148] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[150] No No No OUTPUT
otp_lc_data_o.state[164:151] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[166:165] No No No OUTPUT
otp_lc_data_o.state[167] Yes Yes *T1,*T3,*T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[168] No No No OUTPUT
otp_lc_data_o.state[177:169] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[178] No No No OUTPUT
otp_lc_data_o.state[180:179] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[182:181] No No No OUTPUT
otp_lc_data_o.state[186:183] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[187] No No No OUTPUT
otp_lc_data_o.state[199:188] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[200] No No No OUTPUT
otp_lc_data_o.state[206:201] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[207] No No No OUTPUT
otp_lc_data_o.state[214:208] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[216:215] No No No OUTPUT
otp_lc_data_o.state[219:217] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[220] No No No OUTPUT
otp_lc_data_o.state[235:221] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[237:236] No No No OUTPUT
otp_lc_data_o.state[239:238] Yes Yes T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[240] No No No OUTPUT
otp_lc_data_o.state[243:241] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[244] No No No OUTPUT
otp_lc_data_o.state[246:245] Yes Yes T1,T4,T111 Yes T1,T4,T111 OUTPUT
otp_lc_data_o.state[247] No No No OUTPUT
otp_lc_data_o.state[250:248] Yes Yes T1,T4,T111 Yes T1,T4,T111 OUTPUT
otp_lc_data_o.state[251] No No No OUTPUT
otp_lc_data_o.state[277:252] Yes Yes T1,T4,*T111 Yes T1,T4,T111 OUTPUT
otp_lc_data_o.state[279:278] No No No OUTPUT
otp_lc_data_o.state[306:280] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[308:307] No No No OUTPUT
otp_lc_data_o.state[311:309] Yes Yes T4,*T111,*T14 Yes T4,T111,T14 OUTPUT
otp_lc_data_o.state[312] No No No OUTPUT
otp_lc_data_o.state[316:313] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.state[317] No No No OUTPUT
otp_lc_data_o.state[319:318] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_lc_data_o.error Yes Yes T8,T5,T9 Yes T8,T5,T9 OUTPUT
otp_lc_data_o.valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_keymgr_key_o.owner_seed_valid Excluded Excluded Excluded OUTPUT 0->1:VC_COV_UNR / 1->0:VC_COV_UNR
otp_keymgr_key_o.owner_seed[255:0] Excluded Excluded Excluded OUTPUT 0->1:VC_COV_UNR / 1->0:VC_COV_UNR
otp_keymgr_key_o.creator_seed_valid Excluded Excluded Excluded OUTPUT 0->1:VC_COV_UNR / 1->0:VC_COV_UNR
otp_keymgr_key_o.creator_seed[255:0] Excluded Excluded Excluded OUTPUT 0->1:VC_COV_UNR / 1->0:VC_COV_UNR
otp_keymgr_key_o.creator_root_key_share1_valid Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_keymgr_key_o.creator_root_key_share1[255:0] Yes Yes T14,T234,T106 Yes T14,T106,T78 OUTPUT
otp_keymgr_key_o.creator_root_key_share0_valid Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_keymgr_key_o.creator_root_key_share0[255:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
flash_otp_key_i.addr_req Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
flash_otp_key_i.data_req Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
flash_otp_key_o.seed_valid Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
flash_otp_key_o.rand_key[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
flash_otp_key_o.key[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
flash_otp_key_o.addr_ack Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
flash_otp_key_o.data_ack Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_i[0].req Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
sram_otp_key_i[1].req Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
sram_otp_key_i[2].req Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
sram_otp_key_i[3].req Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
sram_otp_key_o[0].seed_valid Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[0].nonce[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[0].key[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[0].ack Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[1].seed_valid Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[1].nonce[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[1].key[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[1].ack Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[2].seed_valid Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[2].nonce[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[2].key[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[2].ack Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[3].seed_valid Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[3].nonce[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[3].key[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
sram_otp_key_o[3].ack Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otbn_otp_key_i.req Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
otbn_otp_key_o.seed_valid Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otbn_otp_key_o.nonce[63:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otbn_otp_key_o.key[127:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otbn_otp_key_o.ack Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
otp_broadcast_o.hw_cfg0_data.device_id[255:0] Yes Yes T14,T46,T78 Yes T14,T112,T234 OUTPUT
otp_broadcast_o.hw_cfg0_data.manuf_state[255:0] Yes Yes T14,T69,T129 Yes T14,T69,T112 OUTPUT
otp_broadcast_o.hw_cfg0_data.hw_cfg0_digest[63:0] Yes Yes T3,T4,T14 Yes T3,T4,T14 OUTPUT
otp_broadcast_o.hw_cfg1_data.en_sram_ifetch[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_broadcast_o.hw_cfg1_data.en_csrng_sw_app_read[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_broadcast_o.hw_cfg1_data.dis_rv_dm_late_debug[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_broadcast_o.hw_cfg1_data.unallocated[39:0] Yes Yes T10,T14,T69 Yes T10,T14,T69 OUTPUT
otp_broadcast_o.hw_cfg1_data.hw_cfg1_digest[63:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_broadcast_o.valid[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_ext_voltage_h_io No No No INOUT
scan_en_i Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
scan_rst_ni Yes Yes T3,T4,T5 Yes T1,T3,T4 INPUT
scanmode_i[3:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
cio_test_o[7:0] Excluded Excluded Excluded OUTPUT 0->1:VC_COV_UNR / 1->0:VC_COV_UNR
cio_test_en_o[7:0] Yes Yes T12,T6,T7 Yes T12,T6,T15 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Instance : tb.dut
Line No.TotalCoveredPercent
Branches 28 27 96.43
TERNARY 378 2 1 50.00
TERNARY 1435 2 2 100.00
TERNARY 1437 2 2 100.00
TERNARY 1439 2 2 100.00
IF 283 2 2 100.00
IF 304 2 2 100.00
IF 330 2 2 100.00
IF 337 2 2 100.00
IF 403 2 2 100.00
IF 444 2 2 100.00
IF 465 2 2 100.00
IF 468 2 2 100.00
IF 495 2 2 100.00
IF 987 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 378 ((reg2hw.direct_access_regwen.qe && (!reg2hw.direct_access_regwen.q))) ?

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 1435 ((part_digest[Secret0Idx] != '0)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 1437 ((part_digest[Secret2Idx] != '0)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 1439 ((part_digest[Secret2Idx] != '0)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 283 if (tlul_req) -2-: 284 if ((tlul_part_sel_oh != '0))

Branches:
-1--2-StatusTestsExclude Annotation
1 1 Covered T1,T2,T3
1 0 Excluded VC_COV_UNR
0 - Covered T1,T2,T3


LineNo. Expression -1-: 304 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 330 if (lc_ctrl_pkg::lc_tx_test_false_loose(lc_creator_seed_sw_rw_en))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 337 if (lc_ctrl_pkg::lc_tx_test_false_loose(lc_owner_seed_sw_rw_en))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 403 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 444 if (otp_ctrl_part_pkg::PartInfo[k].integrity)

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 465 if ((fatal_macro_error_q || fatal_check_error_q))

Branches:
-1-StatusTests
1 Covered T8,T5,T9
0 Covered T1,T2,T3


LineNo. Expression -1-: 468 if (lc_ctrl_pkg::lc_tx_test_true_strict(lc_escalate_en[k]))

Branches:
-1-StatusTests
1 Covered T8,T5,T9
0 Covered T1,T2,T3


LineNo. Expression -1-: 495 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 987 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 71 71 100.00 69 97.18
Cover properties 0 0 0
Cover sequences 0 0 0
Total 71 71 100.00 69 97.18




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertTxKnown_A 462139186 461300521 0 0
CoreTlOutKnown_A 462139186 461300521 0 0
CreatorRootKeyShare0Size_A 1149 1149 0 0
CreatorRootKeyShare1Size_A 1149 1149 0 0
ErrorCodeWidth_A 1149 1149 0 0
FlashAddrKeySeedSize_A 1149 1149 0 0
FlashDataKeySeedSize_A 1149 1149 0 0
FlashOtpKeyRspKnown_A 462139186 461300521 0 0
FpvSecCmCntCnstyCheck_A 462139186 50 0 0
FpvSecCmCntDaiCheck_A 462139186 50 0 0
FpvSecCmCntIntegCheck_A 462139186 50 0 0
FpvSecCmCntKdiEntropyCheck_A 462139186 50 0 0
FpvSecCmCntKdiSeedCheck_A 462139186 50 0 0
FpvSecCmCntLciCheck_A 462139186 50 0 0
FpvSecCmCntScrmblCheck_A 462139186 50 0 0
FpvSecCmCtrlDaiFsmCheck_A 462139186 50 0 0
FpvSecCmCtrlKdiFsmCheck_A 462139186 50 0 0
FpvSecCmCtrlLciFsmCheck_A 462139186 50 0 0
FpvSecCmCtrlLfsrTimerFsmCheck_A 462139186 50 0 0
FpvSecCmCtrlScrambleFsmCheck_A 462139186 50 0 0
FpvSecCmDoubleLfsrCheck_A 462139186 50 0 0
FpvSecCmRegWeOnehotCheck_A 462139186 50 0 0
FpvSecCmTlLcGateFsm_A 462139186 50 0 0
IntrOtpErrorKnown_A 462139186 461300521 0 0
IntrOtpOperationDoneKnown_A 462139186 461300521 0 0
LcOtpProgramRspKnown_A 462139186 461300521 0 0
LcSeedHwRdEnStable0_A 462139186 2124 0 0
LcSeedHwRdEnStable1_A 462139186 2124 0 0
LcSeedHwRdEnStable2_A 462139186 0 0 0
LcSeedHwRdEnStable3_A 462139186 0 0 0
LcStateSize_A 1149 1149 0 0
LcTransitionCntSize_A 1149 1149 0 0
OtpAstPwrSeqKnown_A 462139186 461300521 0 0
OtpBroadcastKnown_A 462139186 461300521 0 0
OtpErrorCode0_A 1149 1149 0 0
OtpErrorCode1_A 1149 1149 0 0
OtpErrorCode2_A 1149 1149 0 0
OtpErrorCode3_A 1149 1149 0 0
OtpErrorCode4_A 1149 1149 0 0
OtpIfWidth_A 1149 1149 0 0
OtpKeymgrKeyKnown_A 462139186 461300521 0 0
OtpLcDataKnown_A 462139186 461300521 0 0
OtpOtgnKeyKnown_A 462139186 461300521 0 0
OtpRespFifoUnderflow_A 462139186 1297911 0 0
OtpSramKeyKnown_A 462139186 461300521 0 0
PartSelMustBeOnehot_A 462139186 461300521 0 0
PrimTlOutKnown_A 462139186 461300521 0 0
PwrOtpInitRspKnown_A 462139186 461300521 0 0
RmaTokenSize_A 1149 1149 0 0
SramDataKeySeedSize_A 1149 1149 0 0
TestExitTokenSize_A 1149 1149 0 0
TestUnlockTokenSize_A 1149 1149 0 0
gen_partitions[0].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A 462139186 50 0 0
gen_partitions[10].gen_lifecycle.FpvSecCmCntPartLcCheck_A 462139186 50 0 0
gen_partitions[10].gen_lifecycle.FpvSecCmCtrlPartLcFsmCheck_A 462139186 50 0 0
gen_partitions[1].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A 462139186 50 0 0
gen_partitions[2].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A 462139186 50 0 0
gen_partitions[3].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A 462139186 50 0 0
gen_partitions[4].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A 462139186 50 0 0
gen_partitions[5].gen_buffered.FpvSecCmCntPartBufCheck_A 462139186 50 0 0
gen_partitions[5].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A 462139186 50 0 0
gen_partitions[6].gen_buffered.FpvSecCmCntPartBufCheck_A 462139186 50 0 0
gen_partitions[6].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A 462139186 50 0 0
gen_partitions[7].gen_buffered.FpvSecCmCntPartBufCheck_A 462139186 50 0 0
gen_partitions[7].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A 462139186 50 0 0
gen_partitions[8].gen_buffered.FpvSecCmCntPartBufCheck_A 462139186 50 0 0
gen_partitions[8].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A 462139186 50 0 0
gen_partitions[9].gen_buffered.FpvSecCmCntPartBufCheck_A 462139186 50 0 0
gen_partitions[9].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A 462139186 50 0 0
gen_reg_we_assert_generic.FpvSecCmPrimFsmCheck_A 462139186 50 0 0
gen_reg_we_assert_generic.FpvSecCmPrimRegWeOnehotCheck_A 462139186 50 0 0


AlertTxKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

CoreTlOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

CreatorRootKeyShare0Size_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

CreatorRootKeyShare1Size_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

ErrorCodeWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

FlashAddrKeySeedSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

FlashDataKeySeedSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

FlashOtpKeyRspKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

FpvSecCmCntCnstyCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCntDaiCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCntIntegCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCntKdiEntropyCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCntKdiSeedCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCntLciCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCntScrmblCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCtrlDaiFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCtrlKdiFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCtrlLciFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCtrlLfsrTimerFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmCtrlScrambleFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmDoubleLfsrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

FpvSecCmTlLcGateFsm_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

IntrOtpErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

IntrOtpOperationDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

LcOtpProgramRspKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

LcSeedHwRdEnStable0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 2124 0 0
T1 47671 6 0 0
T2 17694 0 0 0
T3 53055 11 0 0
T4 44993 8 0 0
T5 18174 0 0 0
T8 40211 0 0 0
T9 19474 0 0 0
T10 16051 0 0 0
T11 15094 0 0 0
T12 60034 2 0 0
T14 0 60 0 0
T34 0 5 0 0
T69 0 6 0 0
T100 0 5 0 0
T101 0 12 0 0
T110 0 2 0 0

LcSeedHwRdEnStable1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 2124 0 0
T1 47671 6 0 0
T2 17694 0 0 0
T3 53055 11 0 0
T4 44993 8 0 0
T5 18174 0 0 0
T8 40211 0 0 0
T9 19474 0 0 0
T10 16051 0 0 0
T11 15094 0 0 0
T12 60034 2 0 0
T14 0 60 0 0
T34 0 5 0 0
T69 0 6 0 0
T100 0 5 0 0
T101 0 12 0 0
T110 0 2 0 0

LcSeedHwRdEnStable2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 0 0 0

LcSeedHwRdEnStable3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 0 0 0

LcStateSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

LcTransitionCntSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpAstPwrSeqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

OtpBroadcastKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

OtpErrorCode0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpErrorCode1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpErrorCode2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpErrorCode3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpErrorCode4_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpIfWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpKeymgrKeyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

OtpLcDataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

OtpOtgnKeyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

OtpRespFifoUnderflow_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 1297911 0 0
T1 47671 2374 0 0
T2 17694 131 0 0
T3 53055 1117 0 0
T4 44993 1848 0 0
T5 18174 209 0 0
T8 40211 198 0 0
T9 19474 207 0 0
T10 16051 198 0 0
T11 15094 166 0 0
T12 60034 1125 0 0

OtpSramKeyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

PartSelMustBeOnehot_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

PrimTlOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

PwrOtpInitRspKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 461300521 0 0
T1 47671 46680 0 0
T2 17694 16335 0 0
T3 53055 51982 0 0
T4 44993 44194 0 0
T5 18174 17966 0 0
T8 40211 39972 0 0
T9 19474 19222 0 0
T10 16051 15802 0 0
T11 15094 14328 0 0
T12 60034 58988 0 0

RmaTokenSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

SramDataKeySeedSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

TestExitTokenSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

TestUnlockTokenSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

gen_partitions[0].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[10].gen_lifecycle.FpvSecCmCntPartLcCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[10].gen_lifecycle.FpvSecCmCtrlPartLcFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[1].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[2].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[3].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[4].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[5].gen_buffered.FpvSecCmCntPartBufCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[5].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[6].gen_buffered.FpvSecCmCntPartBufCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[6].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[7].gen_buffered.FpvSecCmCntPartBufCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[7].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[8].gen_buffered.FpvSecCmCntPartBufCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[8].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[9].gen_buffered.FpvSecCmCntPartBufCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_partitions[9].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_reg_we_assert_generic.FpvSecCmPrimFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

gen_reg_we_assert_generic.FpvSecCmPrimRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 462139186 50 0 0
T21 931891 10 0 0
T22 0 10 0 0
T23 0 10 0 0
T87 41749 0 0 0
T115 52914 0 0 0
T152 12127 0 0 0
T153 21752 0 0 0
T154 410362 0 0 0
T155 13095 0 0 0
T156 11230 0 0 0
T157 9007 0 0 0
T235 0 10 0 0
T236 0 10 0 0
T237 31049 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%