Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Line Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=65616,DigestOffset=56,StateWidth=10 )
Line Coverage for Module self-instances :
SCORELINE
96.23 100.00
tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf

Line No.TotalCoveredPercent
TOTAL938692.47
CONT_ASSIGN13811100.00
ALWAYS15333100.00
ALWAYS164686189.71
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN33911100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
153 1 1
154 1 1
156 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
==> MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 0 1
MISSING_ELSE
224 0 1
225 0 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 0 1
MISSING_ELSE
276 0 1
277 0 1
279 0 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
339 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Line Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=134651988,DigestOffset=480,StateWidth=10 )
Line Coverage for Module self-instances :
SCORELINE
98.33 100.00
tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf

Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Line Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=1024082004,DigestOffset=1136,StateWidth=10 )
Line Coverage for Module self-instances :
SCORELINE
98.33 100.00
tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf

Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Line Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=-1,DigestOffset=1608,StateWidth=10 )
Line Coverage for Module self-instances :
SCORELINE
93.12 96.70
tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf

Line No.TotalCoveredPercent
TOTAL918896.70
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS164686595.59
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 0 1
316 0 1
317 0 1
==> MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Line Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=-1,DigestOffset=1648,StateWidth=10 )
Line Coverage for Module self-instances :
SCORELINE
98.33 100.00
tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf

Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=-1,DigestOffset=1608,StateWidth=10 )
Cond Coverage for Module self-instances :
SCORECOND
93.12 93.94
tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf

TotalCoveredPercent
Conditions343191.18
Logical343191.18
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT137,T70,T71

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT138,T139,T47

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T11
1CoveredT28,T29,T30

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0Not Covered
1Not Covered

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T11
1CoveredT1,T3,T11

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T8,T6
11CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b10001111000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT6,T7,T19

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT6,T7,T19

Cond Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=65616,DigestOffset=56,StateWidth=10 )
Cond Coverage for Module self-instances :
SCORECOND
96.23 100.00
tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf

TotalCoveredPercent
Conditions312993.55
Logical312993.55
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T11
1CoveredT28,T29,T30

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT140
1CoveredT140

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T11
1CoveredT1,T3,T11

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T8,T6
11CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT6,T7,T19

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT6,T7,T19

Cond Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=134651988,DigestOffset=480,StateWidth=10 )
Cond Coverage for Module self-instances :
SCORECOND
98.33 100.00
tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf

TotalCoveredPercent
Conditions343397.06
Logical343397.06
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T65,T70

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT47,T54,T141

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T11
1CoveredT28,T29,T30

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT140
1CoveredT140

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T11
1CoveredT1,T3,T11

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T8,T6
11CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00001000000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT6,T7,T19

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT6,T7,T19

Cond Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=1024082004,DigestOffset=1136,StateWidth=10 )
Cond Coverage for Module self-instances :
SCORECOND
98.33 100.00
tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf

TotalCoveredPercent
Conditions343397.06
Logical343397.06
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT64,T71,T142

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT54,T143,T22

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T11
1CoveredT28,T29,T30

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT140
1CoveredT140

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T11
1CoveredT3,T11,T8

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T8,T6
11CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00111101000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T100,T144

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T100,T144

Cond Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=-1,DigestOffset=1648,StateWidth=10 )
Cond Coverage for Module self-instances :
SCORECOND
98.33 100.00
tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf

TotalCoveredPercent
Conditions343397.06
Logical343397.06
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT39,T115,T126

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT47,T141,T42

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T11
1CoveredT28,T29,T30

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT145,T146
1CoveredT145,T146

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T11
1CoveredT1,T3,T11

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T8,T6
11CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b11001010000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT6,T7,T19

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT6,T7,T19

FSM Coverage for Module : otp_ctrl_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 14 12 85.71
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T3,T11
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T2,T3
ReadWaitSt 252 Covered T1,T2,T3
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTests
IdleSt->ErrorSt 315 Covered T1,T3,T11
IdleSt->ReadSt 236 Covered T1,T2,T3
InitSt->ErrorSt 315 Covered T1,T107,T144
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T1,T107,T147
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T3,T8,T6
ReadSt->ReadWaitSt 252 Covered T1,T2,T3
ReadWaitSt->ErrorSt 276 Covered T138,T148,T83
ReadWaitSt->IdleSt 270 Covered T1,T2,T3
ResetSt->ErrorSt 315 Covered T68,T14,T69
ResetSt->IdleSt 196 Not Covered
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 20 10 50.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T3,T8,T6
CheckFailError 317 Covered T140,T145,T146
FsmStateError 289 Covered T1,T3,T11
MacroEccCorrError 221 Covered T1,T64,T65
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTests
AccessError->CheckFailError 317 Not Covered
AccessError->FsmStateError 325 Covered T3,T8,T9
AccessError->MacroEccCorrError 221 Not Covered
AccessError->NoError 235 Covered T3,T8,T6
CheckFailError->AccessError 256 Not Covered
CheckFailError->FsmStateError 325 Not Covered
CheckFailError->MacroEccCorrError 221 Not Covered
CheckFailError->NoError 235 Covered T140,T145,T146
FsmStateError->AccessError 256 Not Covered
FsmStateError->CheckFailError 317 Not Covered
FsmStateError->MacroEccCorrError 221 Not Covered
FsmStateError->NoError 235 Covered T1,T3,T11
MacroEccCorrError->AccessError 256 Not Covered
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T1,T64,T65
MacroEccCorrError->NoError 235 Covered T47,T63,T54
NoError->AccessError 256 Covered T3,T8,T6
NoError->CheckFailError 317 Covered T140,T145,T146
NoError->FsmStateError 289 Covered T1,T3,T11
NoError->MacroEccCorrError 221 Covered T1,T64,T65



Branch Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=65616,DigestOffset=56,StateWidth=10 )
Branch Coverage for Module self-instances :
SCOREBRANCH
96.23 100.00
tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf

Line No.TotalCoveredPercent
Branches 46 41 89.13
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 18 78.26
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00
IF 153 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T6,T7,T19
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Not Covered
InitWaitSt - - - 1 1 1 - - - - - - - - - Not Covered
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Not Covered
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 0 - - - - - - Covered T7,T41,T20
ReadSt - - - - - - - 0 - - - - - - - Covered T3,T6,T7
ReadWaitSt - - - - - - - - - 1 1 1 - - - Not Covered
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T2,T3
ReadWaitSt - - - - - - - - - 1 0 - - - - Not Covered
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - 1 - - Covered T28,T29,T30
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T3,T11
ErrorSt - - - - - - - - - - - - - 1 - Covered T3,T8,T68
ErrorSt - - - - - - - - - - - - - 0 1 Covered T3,T8,T68
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T3,T11
default - - - - - - - - - - - - - - - Covered T28,T29,T30


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T140
1 0 Covered T140
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T3,T11
1 0 Covered T1,T3,T11
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 153 if ((otp_err_e'(otp_err_i) inside {MacroEccCorrError, MacroEccUncorrError}))

Branches:
-1-StatusTests
1 Covered T1,T3,T8
0 Covered T1,T2,T3


Branch Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=134651988,DigestOffset=480,StateWidth=10 + Info=1024082004,DigestOffset=1136,StateWidth=10 + Info=-1,DigestOffset=1608,StateWidth=10 + Info=-1,DigestOffset=1648,StateWidth=10 )
Branch Coverage for Module self-instances :
SCOREBRANCH
98.33 100.00
tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf

SCOREBRANCH
98.33 100.00
tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf

SCOREBRANCH
93.12 95.45
tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf

SCOREBRANCH
98.33 100.00
tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf

Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T1,T6,T7
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T1,T64,T65
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T1,T95,T107
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 0 - - - - - - Covered T7,T41,T20
ReadSt - - - - - - - 0 - - - - - - - Covered T3,T8,T6
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T138,T139,T47
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T2,T3
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T138,T148,T83
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - 1 - - Covered T28,T29,T30
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T3,T11
ErrorSt - - - - - - - - - - - - - 1 - Covered T3,T8,T68
ErrorSt - - - - - - - - - - - - - 0 1 Covered T3,T8,T68
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T3,T11
default - - - - - - - - - - - - - - - Covered T28,T29,T30


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T140,T145,T146
1 0 Covered T140,T145,T146
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T3,T11
1 0 Covered T1,T3,T11
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Module : otp_ctrl_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 2147483647 2147483647 0 0
DigestKnown_A 2147483647 2147483647 0 0
DigestOffsetMustBeRepresentable_A 5745 5745 0 0
EccErrorState_A 2147483647 14025 0 0
ErrorKnown_A 2147483647 2147483647 0 0
FsmStateKnown_A 2147483647 2147483647 0 0
InitDoneKnown_A 2147483647 2147483647 0 0
InitReadLocksPartition_A 2147483647 527325033 0 0
InitWriteLocksPartition_A 2147483647 527325033 0 0
OffsetMustBeBlockAligned_A 5745 5745 0 0
OtpAddrKnown_A 2147483647 2147483647 0 0
OtpCmdKnown_A 2147483647 2147483647 0 0
OtpErrorState_A 2147483647 201 0 0
OtpReqKnown_A 2147483647 2147483647 0 0
OtpSizeKnown_A 2147483647 2147483647 0 0
OtpWdataKnown_A 2147483647 2147483647 0 0
ReadLockPropagation_A 2147483647 1029540026 0 0
SizeMustBeBlockAligned_A 5745 5745 0 0
TlulGntKnown_A 2147483647 2147483647 0 0
TlulRdataKnown_A 2147483647 2147483647 0 0
TlulReadOnReadLock_A 2147483647 40566 0 0
TlulRerrorKnown_A 2147483647 2147483647 0 0
TlulRvalidKnown_A 2147483647 2147483647 0 0
WriteLockPropagation_A 2147483647 9257679 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 2147483647 111759524 0 0
u_state_regs_A 2147483647 2147483647 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 53090 51870 0 0
T2 114985 112060 0 0
T3 2421470 2421405 0 0
T5 50945 49835 0 0
T6 497600 493520 0 0
T7 391245 383915 0 0
T8 386860 385815 0 0
T10 22640 22255 0 0
T11 82240 81235 0 0
T12 111130 109675 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 53090 51870 0 0
T2 114985 112060 0 0
T3 2421470 2421405 0 0
T5 50945 49835 0 0
T6 497600 493520 0 0
T7 391245 383915 0 0
T8 386860 385815 0 0
T10 22640 22255 0 0
T11 82240 81235 0 0
T12 111130 109675 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 5745 5745 0 0
T1 5 5 0 0
T2 5 5 0 0
T3 5 5 0 0
T5 5 5 0 0
T6 5 5 0 0
T7 5 5 0 0
T8 5 5 0 0
T10 5 5 0 0
T11 5 5 0 0
T12 5 5 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 14025 0 0
T17 54825 0 0 0
T140 33789 9381 0 0
T145 13381 2478 0 0
T146 0 2166 0 0
T149 45624 0 0 0
T150 34530 0 0 0
T151 67857 0 0 0
T152 75972 0 0 0
T153 42426 0 0 0
T154 79488 0 0 0
T155 51756 0 0 0
T156 115830 0 0 0
T157 11169 0 0 0
T158 873109 0 0 0
T159 23848 0 0 0
T160 456813 0 0 0
T161 260569 0 0 0
T162 191728 0 0 0
T163 36938 0 0 0
T164 737643 0 0 0
T165 923349 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 53090 51870 0 0
T2 114985 112060 0 0
T3 2421470 2421405 0 0
T5 50945 49835 0 0
T6 497600 493520 0 0
T7 391245 383915 0 0
T8 386860 385815 0 0
T10 22640 22255 0 0
T11 82240 81235 0 0
T12 111130 109675 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 53090 51870 0 0
T2 114985 112060 0 0
T3 2421470 2421405 0 0
T5 50945 49835 0 0
T6 497600 493520 0 0
T7 391245 383915 0 0
T8 386860 385815 0 0
T10 22640 22255 0 0
T11 82240 81235 0 0
T12 111130 109675 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 53090 51870 0 0
T2 114985 112060 0 0
T3 2421470 2421405 0 0
T5 50945 49835 0 0
T6 497600 493520 0 0
T7 391245 383915 0 0
T8 386860 385815 0 0
T10 22640 22255 0 0
T11 82240 81235 0 0
T12 111130 109675 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 527325033 0 0
T1 53090 23234 0 0
T2 114985 2540 0 0
T3 2421470 3628505 0 0
T5 50945 1565 0 0
T6 497600 5430 0 0
T7 391245 25985 0 0
T8 386860 285735 0 0
T10 22640 355 0 0
T11 82240 18480 0 0
T12 111130 46010 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 527325033 0 0
T1 53090 23234 0 0
T2 114985 2540 0 0
T3 2421470 3628505 0 0
T5 50945 1565 0 0
T6 497600 5430 0 0
T7 391245 25985 0 0
T8 386860 285735 0 0
T10 22640 355 0 0
T11 82240 18480 0 0
T12 111130 46010 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 5745 5745 0 0
T1 5 5 0 0
T2 5 5 0 0
T3 5 5 0 0
T5 5 5 0 0
T6 5 5 0 0
T7 5 5 0 0
T8 5 5 0 0
T10 5 5 0 0
T11 5 5 0 0
T12 5 5 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 53090 51870 0 0
T2 114985 112060 0 0
T3 2421470 2421405 0 0
T5 50945 49835 0 0
T6 497600 493520 0 0
T7 391245 383915 0 0
T8 386860 385815 0 0
T10 22640 22255 0 0
T11 82240 81235 0 0
T12 111130 109675 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 53090 51870 0 0
T2 114985 112060 0 0
T3 2421470 2421405 0 0
T5 50945 49835 0 0
T6 497600 493520 0 0
T7 391245 383915 0 0
T8 386860 385815 0 0
T10 22640 22255 0 0
T11 82240 81235 0 0
T12 111130 109675 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 201 0 0
T1 10618 1 0 0
T2 22997 0 0 0
T3 484294 0 0 0
T5 10189 0 0 0
T6 99520 0 0 0
T7 78249 0 0 0
T8 77372 0 0 0
T10 4528 0 0 0
T11 16448 0 0 0
T12 22226 0 0 0
T20 528464 0 0 0
T21 45873 0 0 0
T50 24790 0 0 0
T65 24028 0 0 0
T80 0 1 0 0
T83 0 1 0 0
T87 0 1 0 0
T88 60599 0 0 0
T95 9949 1 0 0
T100 33994 0 0 0
T101 15906 0 0 0
T107 18656 1 0 0
T138 50611 1 0 0
T141 0 2 0 0
T143 0 2 0 0
T144 20620 1 0 0
T147 0 1 0 0
T166 0 1 0 0
T167 0 1 0 0
T168 0 1 0 0
T169 0 1 0 0
T170 0 1 0 0
T171 0 1 0 0
T172 0 1 0 0
T173 0 1 0 0
T174 0 1 0 0
T175 0 1 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 53090 51870 0 0
T2 114985 112060 0 0
T3 2421470 2421405 0 0
T5 50945 49835 0 0
T6 497600 493520 0 0
T7 391245 383915 0 0
T8 386860 385815 0 0
T10 22640 22255 0 0
T11 82240 81235 0 0
T12 111130 109675 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 53090 51870 0 0
T2 114985 112060 0 0
T3 2421470 2421405 0 0
T5 50945 49835 0 0
T6 497600 493520 0 0
T7 391245 383915 0 0
T8 386860 385815 0 0
T10 22640 22255 0 0
T11 82240 81235 0 0
T12 111130 109675 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 53090 51870 0 0
T2 114985 112060 0 0
T3 2421470 2421405 0 0
T5 50945 49835 0 0
T6 497600 493520 0 0
T7 391245 383915 0 0
T8 386860 385815 0 0
T10 22640 22255 0 0
T11 82240 81235 0 0
T12 111130 109675 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 1029540026 0 0
T3 1452882 1606642 0 0
T5 30567 0 0 0
T6 497600 72130 0 0
T7 391245 43852 0 0
T8 232116 178515 0 0
T9 273776 4197865 0 0
T10 13584 0 0 0
T11 49344 0 0 0
T12 66678 0 0 0
T19 432440 71098 0 0
T20 0 249858 0 0
T38 38196 0 0 0
T41 58072 12279 0 0
T64 31138 0 0 0
T68 131255 0 0 0
T93 48946 48202 0 0
T94 12290 0 0 0
T100 0 35355 0 0
T103 0 21820 0 0
T176 0 180921 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 5745 5745 0 0
T1 5 5 0 0
T2 5 5 0 0
T3 5 5 0 0
T5 5 5 0 0
T6 5 5 0 0
T7 5 5 0 0
T8 5 5 0 0
T10 5 5 0 0
T11 5 5 0 0
T12 5 5 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 53090 51870 0 0
T2 114985 112060 0 0
T3 2421470 2421405 0 0
T5 50945 49835 0 0
T6 497600 493520 0 0
T7 391245 383915 0 0
T8 386860 385815 0 0
T10 22640 22255 0 0
T11 82240 81235 0 0
T12 111130 109675 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 53090 51870 0 0
T2 114985 112060 0 0
T3 2421470 2421405 0 0
T5 50945 49835 0 0
T6 497600 493520 0 0
T7 391245 383915 0 0
T8 386860 385815 0 0
T10 22640 22255 0 0
T11 82240 81235 0 0
T12 111130 109675 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 40566 0 0
T3 2421470 91 0 0
T5 50945 0 0 0
T6 497600 13 0 0
T7 391245 86 0 0
T8 386860 69 0 0
T9 0 139 0 0
T10 22640 0 0 0
T11 82240 0 0 0
T12 111130 0 0 0
T19 432440 14 0 0
T20 0 17 0 0
T21 0 3 0 0
T41 0 7 0 0
T68 131255 7 0 0
T93 0 10 0 0
T100 0 5 0 0
T103 0 2 0 0
T176 0 53 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 53090 51870 0 0
T2 114985 112060 0 0
T3 2421470 2421405 0 0
T5 50945 49835 0 0
T6 497600 493520 0 0
T7 391245 383915 0 0
T8 386860 385815 0 0
T10 22640 22255 0 0
T11 82240 81235 0 0
T12 111130 109675 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 53090 51870 0 0
T2 114985 112060 0 0
T3 2421470 2421405 0 0
T5 50945 49835 0 0
T6 497600 493520 0 0
T7 391245 383915 0 0
T8 386860 385815 0 0
T10 22640 22255 0 0
T11 82240 81235 0 0
T12 111130 109675 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 9257679 0 0
T6 99520 38338 0 0
T7 156498 13678 0 0
T9 273776 0 0 0
T14 0 45133 0 0
T19 172976 47495 0 0
T20 0 54253 0 0
T21 45873 2080 0 0
T38 38196 0 0 0
T41 58072 768 0 0
T44 16361 0 0 0
T47 0 10644 0 0
T51 11950 0 0 0
T63 0 934 0 0
T64 31138 0 0 0
T68 52502 0 0 0
T70 10608 0 0 0
T88 60599 15127 0 0
T89 77187 11149 0 0
T90 0 6969 0 0
T91 0 2401 0 0
T92 0 13359 0 0
T93 48946 0 0 0
T94 12290 0 0 0
T95 9949 0 0 0
T96 0 2318 0 0
T97 0 63838 0 0
T98 0 7004 0 0
T101 15906 0 0 0
T102 15858 0 0 0
T120 0 7674 0 0
T136 0 20281 0 0
T137 9245 0 0 0
T138 50611 0 0 0
T177 0 9092 0 0
T178 0 27276 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 111759524 0 0
T1 10618 3530 0 0
T2 22997 0 0 0
T3 484294 0 0 0
T5 10189 0 0 0
T6 497600 243308 0 0
T7 391245 183183 0 0
T8 77372 0 0 0
T9 547552 0 0 0
T10 4528 0 0 0
T11 16448 0 0 0
T12 22226 0 0 0
T19 345952 200540 0 0
T20 0 436201 0 0
T21 0 99713 0 0
T38 76392 0 0 0
T41 116144 57222 0 0
T64 62276 3745 0 0
T65 0 3336 0 0
T68 105004 9758 0 0
T88 0 103058 0 0
T89 0 25977 0 0
T93 97892 2662 0 0
T94 24580 0 0 0
T95 0 7627 0 0
T100 0 9536 0 0
T107 0 3356 0 0
T144 0 3369 0 0
T166 0 3837 0 0
T176 0 8558 0 0
T179 0 4276 0 0
T180 0 4119 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 53090 51870 0 0
T2 114985 112060 0 0
T3 2421470 2421405 0 0
T5 50945 49835 0 0
T6 497600 493520 0 0
T7 391245 383915 0 0
T8 386860 385815 0 0
T10 22640 22255 0 0
T11 82240 81235 0 0
T12 111130 109675 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%