Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Line Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=65616,DigestOffset=56,StateWidth=10 )
Line Coverage for Module self-instances :
SCORELINE
97.23 100.00
tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf

Line No.TotalCoveredPercent
TOTAL938692.47
CONT_ASSIGN13811100.00
ALWAYS15333100.00
ALWAYS164686189.71
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN33911100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
153 1 1
154 1 1
156 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
==> MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 0 1
MISSING_ELSE
224 0 1
225 0 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 0 1
MISSING_ELSE
276 0 1
277 0 1
279 0 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
339 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Line Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=134594644,DigestOffset=424,StateWidth=10 )
Line Coverage for Module self-instances :
SCORELINE
98.33 100.00
tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf

Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Line Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=906698836,DigestOffset=1136,StateWidth=10 )
Line Coverage for Module self-instances :
SCORELINE
97.75 100.00
tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf

Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Line Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=-1,DigestOffset=1608,StateWidth=10 )
Line Coverage for Module self-instances :
SCORELINE
98.33 100.00
tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf

Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Line Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=-1,DigestOffset=1648,StateWidth=10 )
Line Coverage for Module self-instances :
SCORELINE
98.33 100.00
tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf

Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=-1,DigestOffset=1608,StateWidth=10 )
Cond Coverage for Module self-instances :
SCORECOND
98.33 100.00
tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf

TotalCoveredPercent
Conditions343397.06
Logical343397.06
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT176,T83,T53

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T8,T10
1CoveredT44,T175,T76

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T8,T9
1CoveredT24,T25,T26

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT177,T178
1CoveredT177,T178

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT2,T8,T9
1CoveredT2,T9,T10

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T8,T10

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T9,T12
11CoveredT2,T8,T10

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b10001111000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT2,T3,T8

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT2,T8,T10
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT2,T8,T10
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT8,T17,T32

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT8,T17,T32

Cond Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=65616,DigestOffset=56,StateWidth=10 )
Cond Coverage for Module self-instances :
SCORECOND
97.23 100.00
tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf

TotalCoveredPercent
Conditions312993.55
Logical312993.55
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T8,T9
1CoveredT24,T25,T26

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT81,T166,T179
1CoveredT81,T166,T179

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT2,T8,T9
1CoveredT2,T8,T9

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT9,T5,T4
11CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T17,T32

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T17,T32

Cond Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=134594644,DigestOffset=424,StateWidth=10 )
Cond Coverage for Module self-instances :
SCORECOND
98.33 100.00
tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf

TotalCoveredPercent
Conditions343397.06
Logical343397.06
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT8,T99,T180

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T8
1CoveredT75,T44,T76

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T8,T9
1CoveredT24,T25,T26

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT181
1CoveredT181

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT2,T8,T9
1CoveredT8,T9,T10

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T8

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT9,T5,T4
11CoveredT1,T2,T8

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00001000000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T8

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T8
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T8
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T4,T17

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T4,T17

Cond Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=906698836,DigestOffset=1136,StateWidth=10 )
Cond Coverage for Module self-instances :
SCORECOND
97.75 97.06
tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf

TotalCoveredPercent
Conditions343397.06
Logical343397.06
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT182,T180,T176

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT44,T76,T183

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T8,T9
1CoveredT24,T25,T26

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT81
1CoveredT81

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT2,T8,T9
1CoveredT2,T8,T9

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT9,T12,T5
11CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00110110000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT98,T99,T17

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT98,T99,T17

Cond Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=-1,DigestOffset=1648,StateWidth=10 )
Cond Coverage for Module self-instances :
SCORECOND
98.33 100.00
tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf

TotalCoveredPercent
Conditions343397.06
Logical343397.06
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT60,T39,T93

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T8,T10
1CoveredT3,T98,T75

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T8,T9
1CoveredT24,T25,T26

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT179,T177,T184
1CoveredT179,T177,T184

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT2,T8,T9
1CoveredT2,T8,T9

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T8

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT9,T5,T4
11CoveredT2,T3,T8

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b11001010000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT2,T3,T8

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT2,T3,T8
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT2,T3,T8
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T4,T32

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T4,T32

FSM Coverage for Module : otp_ctrl_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 14 12 85.71
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T2,T8,T9
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T2,T3
ReadWaitSt 252 Covered T1,T2,T3
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTests
IdleSt->ErrorSt 315 Covered T2,T8,T9
IdleSt->ReadSt 236 Covered T1,T2,T3
InitSt->ErrorSt 315 Covered T2,T99,T121
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T2,T99,T185
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T3,T5,T6
ReadSt->ReadWaitSt 252 Covered T1,T2,T3
ReadWaitSt->ErrorSt 276 Covered T98,T175,T186
ReadWaitSt->IdleSt 270 Covered T1,T2,T3
ResetSt->ErrorSt 315 Covered T79,T80,T81
ResetSt->IdleSt 196 Not Covered
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 20 10 50.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T3,T5,T6
CheckFailError 317 Covered T81,T166,T179
FsmStateError 289 Covered T2,T8,T9
MacroEccCorrError 221 Covered T8,T99,T182
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTests
AccessError->CheckFailError 317 Not Covered
AccessError->FsmStateError 325 Covered T5,T6,T7
AccessError->MacroEccCorrError 221 Not Covered
AccessError->NoError 235 Covered T3,T5,T6
CheckFailError->AccessError 256 Not Covered
CheckFailError->FsmStateError 325 Not Covered
CheckFailError->MacroEccCorrError 221 Not Covered
CheckFailError->NoError 235 Covered T81,T166,T179
FsmStateError->AccessError 256 Not Covered
FsmStateError->CheckFailError 317 Not Covered
FsmStateError->MacroEccCorrError 221 Not Covered
FsmStateError->NoError 235 Covered T2,T8,T9
MacroEccCorrError->AccessError 256 Not Covered
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T8,T99,T182
MacroEccCorrError->NoError 235 Covered T3,T75,T44
NoError->AccessError 256 Covered T3,T5,T6
NoError->CheckFailError 317 Covered T81,T166,T179
NoError->FsmStateError 289 Covered T2,T8,T9
NoError->MacroEccCorrError 221 Covered T8,T99,T182



Branch Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=65616,DigestOffset=56,StateWidth=10 )
Branch Coverage for Module self-instances :
SCOREBRANCH
97.23 100.00
tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf

Line No.TotalCoveredPercent
Branches 46 41 89.13
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 18 78.26
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00
IF 153 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T3,T17,T32
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Not Covered
InitWaitSt - - - 1 1 1 - - - - - - - - - Not Covered
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Not Covered
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 0 - - - - - - Covered T6,T7,T102
ReadSt - - - - - - - 0 - - - - - - - Covered T5,T6,T17
ReadWaitSt - - - - - - - - - 1 1 1 - - - Not Covered
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T2,T3
ReadWaitSt - - - - - - - - - 1 0 - - - - Not Covered
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - 1 - - Covered T24,T25,T26
ErrorSt - - - - - - - - - - - - 0 - - Covered T2,T8,T9
ErrorSt - - - - - - - - - - - - - 1 - Covered T9,T5,T4
ErrorSt - - - - - - - - - - - - - 0 1 Covered T9,T5,T4
ErrorSt - - - - - - - - - - - - - 0 0 Covered T2,T8,T9
default - - - - - - - - - - - - - - - Covered T24,T25,T26


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T81,T166,T179
1 0 Covered T81,T166,T179
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T2,T8,T9
1 0 Covered T2,T8,T9
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 153 if ((otp_err_e'(otp_err_i) inside {MacroEccCorrError, MacroEccUncorrError}))

Branches:
-1-StatusTests
1 Covered T2,T3,T8
0 Covered T1,T2,T3


Branch Coverage for Module : otp_ctrl_part_unbuf ( parameter Info=134594644,DigestOffset=424,StateWidth=10 + Info=906698836,DigestOffset=1136,StateWidth=10 + Info=-1,DigestOffset=1608,StateWidth=10 + Info=-1,DigestOffset=1648,StateWidth=10 )
Branch Coverage for Module self-instances :
SCOREBRANCH
98.33 100.00
tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf

SCOREBRANCH
97.75 100.00
tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf

SCOREBRANCH
98.33 100.00
tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf

SCOREBRANCH
98.33 100.00
tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf

Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T3,T8,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T8,T99,T182
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T2,T8,T99
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 0 - - - - - - Covered T6,T7,T102
ReadSt - - - - - - - 0 - - - - - - - Covered T3,T5,T6
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T75,T44,T175
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T2,T3
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T98,T175,T186
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - 1 - - Covered T24,T25,T26
ErrorSt - - - - - - - - - - - - 0 - - Covered T2,T8,T9
ErrorSt - - - - - - - - - - - - - 1 - Covered T9,T12,T5
ErrorSt - - - - - - - - - - - - - 0 1 Covered T9,T12,T5
ErrorSt - - - - - - - - - - - - - 0 0 Covered T2,T8,T9
default - - - - - - - - - - - - - - - Covered T24,T25,T26


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T81,T179,T177
1 0 Covered T81,T179,T177
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T2,T8,T9
1 0 Covered T2,T8,T9
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Module : otp_ctrl_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 2147483647 2147483647 0 0
DigestKnown_A 2147483647 2147483647 0 0
DigestOffsetMustBeRepresentable_A 5730 5730 0 0
EccErrorState_A 2147483647 43315 0 0
ErrorKnown_A 2147483647 2147483647 0 0
FsmStateKnown_A 2147483647 2147483647 0 0
InitDoneKnown_A 2147483647 2147483647 0 0
InitReadLocksPartition_A 2147483647 385005240 0 0
InitWriteLocksPartition_A 2147483647 385005240 0 0
OffsetMustBeBlockAligned_A 5730 5730 0 0
OtpAddrKnown_A 2147483647 2147483647 0 0
OtpCmdKnown_A 2147483647 2147483647 0 0
OtpErrorState_A 2147483647 206 0 0
OtpReqKnown_A 2147483647 2147483647 0 0
OtpSizeKnown_A 2147483647 2147483647 0 0
OtpWdataKnown_A 2147483647 2147483647 0 0
ReadLockPropagation_A 2147483647 919263689 0 0
SizeMustBeBlockAligned_A 5730 5730 0 0
TlulGntKnown_A 2147483647 2147483647 0 0
TlulRdataKnown_A 2147483647 2147483647 0 0
TlulReadOnReadLock_A 2147483647 39018 0 0
TlulRerrorKnown_A 2147483647 2147483647 0 0
TlulRvalidKnown_A 2147483647 2147483647 0 0
WriteLockPropagation_A 2147483647 9818522 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 2147483647 116554518 0 0
u_state_regs_A 2147483647 2147483647 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 120210 117705 0 0
T2 48185 46935 0 0
T3 104695 103570 0 0
T5 77085 76105 0 0
T8 59685 58375 0 0
T9 118235 116900 0 0
T10 56875 55385 0 0
T11 94915 93570 0 0
T12 29910 28800 0 0
T13 84035 82675 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 120210 117705 0 0
T2 48185 46935 0 0
T3 104695 103570 0 0
T5 77085 76105 0 0
T8 59685 58375 0 0
T9 118235 116900 0 0
T10 56875 55385 0 0
T11 94915 93570 0 0
T12 29910 28800 0 0
T13 84035 82675 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 5730 5730 0 0
T1 5 5 0 0
T2 5 5 0 0
T3 5 5 0 0
T5 5 5 0 0
T8 5 5 0 0
T9 5 5 0 0
T10 5 5 0 0
T11 5 5 0 0
T12 5 5 0 0
T13 5 5 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 43315 0 0
T81 33602 8126 0 0
T166 0 3910 0 0
T177 11127 9129 0 0
T178 10538 6080 0 0
T179 0 6720 0 0
T181 14966 2996 0 0
T184 0 6354 0 0
T187 30874 0 0 0
T188 84732 0 0 0
T189 48298 0 0 0
T190 47978 0 0 0
T191 22712 0 0 0
T192 115732 0 0 0
T193 39292 0 0 0
T194 35570 0 0 0
T195 48244 0 0 0
T196 43577 0 0 0
T197 18108 0 0 0
T198 26861 0 0 0
T199 393157 0 0 0
T200 25789 0 0 0
T201 93159 0 0 0
T202 15711 0 0 0
T203 361224 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 120210 117705 0 0
T2 48185 46935 0 0
T3 104695 103570 0 0
T5 77085 76105 0 0
T8 59685 58375 0 0
T9 118235 116900 0 0
T10 56875 55385 0 0
T11 94915 93570 0 0
T12 29910 28800 0 0
T13 84035 82675 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 120210 117705 0 0
T2 48185 46935 0 0
T3 104695 103570 0 0
T5 77085 76105 0 0
T8 59685 58375 0 0
T9 118235 116900 0 0
T10 56875 55385 0 0
T11 94915 93570 0 0
T12 29910 28800 0 0
T13 84035 82675 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 120210 117705 0 0
T2 48185 46935 0 0
T3 104695 103570 0 0
T5 77085 76105 0 0
T8 59685 58375 0 0
T9 118235 116900 0 0
T10 56875 55385 0 0
T11 94915 93570 0 0
T12 29910 28800 0 0
T13 84035 82675 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 385005240 0 0
T1 120210 8688 0 0
T2 48185 21438 0 0
T3 104695 1065 0 0
T5 77085 23165 0 0
T8 59685 22723 0 0
T9 118235 73800 0 0
T10 56875 22630 0 0
T11 94915 20095 0 0
T12 29910 4140 0 0
T13 84035 30620 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 385005240 0 0
T1 120210 8688 0 0
T2 48185 21438 0 0
T3 104695 1065 0 0
T5 77085 23165 0 0
T8 59685 22723 0 0
T9 118235 73800 0 0
T10 56875 22630 0 0
T11 94915 20095 0 0
T12 29910 4140 0 0
T13 84035 30620 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 5730 5730 0 0
T1 5 5 0 0
T2 5 5 0 0
T3 5 5 0 0
T5 5 5 0 0
T8 5 5 0 0
T9 5 5 0 0
T10 5 5 0 0
T11 5 5 0 0
T12 5 5 0 0
T13 5 5 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 120210 117705 0 0
T2 48185 46935 0 0
T3 104695 103570 0 0
T5 77085 76105 0 0
T8 59685 58375 0 0
T9 118235 116900 0 0
T10 56875 55385 0 0
T11 94915 93570 0 0
T12 29910 28800 0 0
T13 84035 82675 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 120210 117705 0 0
T2 48185 46935 0 0
T3 104695 103570 0 0
T5 77085 76105 0 0
T8 59685 58375 0 0
T9 118235 116900 0 0
T10 56875 55385 0 0
T11 94915 93570 0 0
T12 29910 28800 0 0
T13 84035 82675 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 206 0 0
T2 9637 1 0 0
T3 20939 0 0 0
T4 1295640 0 0 0
T5 30834 0 0 0
T6 975364 0 0 0
T8 23874 1 0 0
T9 47294 0 0 0
T10 22750 0 0 0
T11 37966 0 0 0
T12 11964 0 0 0
T13 33614 0 0 0
T17 97371 0 0 0
T18 8532 0 0 0
T32 51825 0 0 0
T60 15231 0 0 0
T98 0 1 0 0
T99 10210 1 0 0
T100 23290 0 0 0
T101 23321 0 0 0
T111 0 1 0 0
T112 0 1 0 0
T114 0 1 0 0
T119 41718 0 0 0
T120 32562 0 0 0
T121 22974 0 0 0
T175 0 2 0 0
T185 0 1 0 0
T186 0 1 0 0
T204 0 1 0 0
T205 0 1 0 0
T206 0 1 0 0
T207 0 1 0 0
T208 0 1 0 0
T209 0 1 0 0
T210 0 1 0 0
T211 0 1 0 0
T212 0 1 0 0
T213 0 1 0 0
T214 0 1 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 120210 117705 0 0
T2 48185 46935 0 0
T3 104695 103570 0 0
T5 77085 76105 0 0
T8 59685 58375 0 0
T9 118235 116900 0 0
T10 56875 55385 0 0
T11 94915 93570 0 0
T12 29910 28800 0 0
T13 84035 82675 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 120210 117705 0 0
T2 48185 46935 0 0
T3 104695 103570 0 0
T5 77085 76105 0 0
T8 59685 58375 0 0
T9 118235 116900 0 0
T10 56875 55385 0 0
T11 94915 93570 0 0
T12 29910 28800 0 0
T13 84035 82675 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 120210 117705 0 0
T2 48185 46935 0 0
T3 104695 103570 0 0
T5 77085 76105 0 0
T8 59685 58375 0 0
T9 118235 116900 0 0
T10 56875 55385 0 0
T11 94915 93570 0 0
T12 29910 28800 0 0
T13 84035 82675 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 919263689 0 0
T3 41878 8325 0 0
T4 3239100 25633 0 0
T5 77085 40255 0 0
T6 4876820 2937584 0 0
T7 0 1078046 0 0
T8 23874 0 0 0
T9 47294 0 0 0
T10 22750 0 0 0
T11 37966 0 0 0
T12 11964 0 0 0
T13 84035 0 0 0
T17 292113 168830 0 0
T19 0 89044 0 0
T32 0 97804 0 0
T48 32532 0 0 0
T60 45693 0 0 0
T98 480078 34266 0 0
T99 30630 0 0 0
T100 69870 0 0 0
T119 0 29452 0 0
T121 0 16175 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 5730 5730 0 0
T1 5 5 0 0
T2 5 5 0 0
T3 5 5 0 0
T5 5 5 0 0
T8 5 5 0 0
T9 5 5 0 0
T10 5 5 0 0
T11 5 5 0 0
T12 5 5 0 0
T13 5 5 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 120210 117705 0 0
T2 48185 46935 0 0
T3 104695 103570 0 0
T5 77085 76105 0 0
T8 59685 58375 0 0
T9 118235 116900 0 0
T10 56875 55385 0 0
T11 94915 93570 0 0
T12 29910 28800 0 0
T13 84035 82675 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 120210 117705 0 0
T2 48185 46935 0 0
T3 104695 103570 0 0
T5 77085 76105 0 0
T8 59685 58375 0 0
T9 118235 116900 0 0
T10 56875 55385 0 0
T11 94915 93570 0 0
T12 29910 28800 0 0
T13 84035 82675 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 39018 0 0
T3 20939 2 0 0
T4 3239100 57 0 0
T5 77085 34 0 0
T6 4876820 368 0 0
T8 11937 0 0 0
T9 118235 15 0 0
T10 56875 0 0 0
T11 94915 0 0 0
T12 29910 3 0 0
T13 84035 0 0 0
T17 0 28 0 0
T32 0 17 0 0
T48 43376 0 0 0
T98 640104 32 0 0
T100 0 15 0 0
T101 0 11 0 0
T119 0 8 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 120210 117705 0 0
T2 48185 46935 0 0
T3 104695 103570 0 0
T5 77085 76105 0 0
T8 59685 58375 0 0
T9 118235 116900 0 0
T10 56875 55385 0 0
T11 94915 93570 0 0
T12 29910 28800 0 0
T13 84035 82675 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 120210 117705 0 0
T2 48185 46935 0 0
T3 104695 103570 0 0
T5 77085 76105 0 0
T8 59685 58375 0 0
T9 118235 116900 0 0
T10 56875 55385 0 0
T11 94915 93570 0 0
T12 29910 28800 0 0
T13 84035 82675 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 9818522 0 0
T7 3206624 148515 0 0
T17 97371 14073 0 0
T18 8532 0 0 0
T19 363592 24320 0 0
T32 103650 17080 0 0
T43 0 9663 0 0
T44 0 27510 0 0
T46 47925 0 0 0
T51 53644 0 0 0
T60 15231 0 0 0
T73 138584 0 0 0
T76 0 2425 0 0
T101 23321 0 0 0
T102 0 190323 0 0
T103 0 14944 0 0
T104 0 104217 0 0
T105 0 3829 0 0
T107 0 18771 0 0
T109 0 8760 0 0
T110 146848 0 0 0
T111 46470 0 0 0
T112 29802 0 0 0
T113 237333 0 0 0
T114 32973 0 0 0
T115 14346 0 0 0
T116 0 5061 0 0
T117 0 5175 0 0
T118 0 31296 0 0
T119 83436 2879 0 0
T120 65124 0 0 0
T121 45948 0 0 0
T127 0 20843 0 0
T137 55278 0 0 0
T215 0 6844 0 0
T216 0 34547 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 116554518 0 0
T3 41878 20543 0 0
T4 1943460 8115 0 0
T5 46251 0 0 0
T6 2926092 0 0 0
T7 0 1519205 0 0
T8 35811 3190 0 0
T9 70941 0 0 0
T10 34125 0 0 0
T11 56949 0 0 0
T12 17946 0 0 0
T13 50421 0 0 0
T17 97371 219002 0 0
T18 8532 0 0 0
T19 0 312542 0 0
T32 51825 126980 0 0
T43 0 99733 0 0
T44 0 110193 0 0
T48 10844 0 0 0
T60 15231 0 0 0
T98 160026 15626 0 0
T99 10210 3026 0 0
T100 23290 0 0 0
T101 23321 0 0 0
T110 0 5385 0 0
T111 0 3214 0 0
T112 0 3277 0 0
T113 0 67098 0 0
T114 0 7466 0 0
T115 0 3074 0 0
T119 41718 115988 0 0
T120 32562 0 0 0
T121 0 8198 0 0
T180 0 3100 0 0
T182 0 2914 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 120210 117705 0 0
T2 48185 46935 0 0
T3 104695 103570 0 0
T5 77085 76105 0 0
T8 59685 58375 0 0
T9 118235 116900 0 0
T10 56875 55385 0 0
T11 94915 93570 0 0
T12 29910 28800 0 0
T13 84035 82675 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%