dashboard | hierarchy | modlist | groups | tests | asserts

Module Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.33 100.00 100.00 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.32 100.00 100.00 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.18 94.16 96.15 96.96 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.33 100.00 100.00 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.32 100.00 100.00 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.18 94.16 96.15 96.96 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00

Go back
Module Instances:
tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
Line Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions3333100.00
Logical3333100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT158,T85,T75

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT66,T70,T71

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT24,T25,T26

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT145,T159,T160
1CoveredT145,T159,T160

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T4

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T5,T7
11CoveredT2,T3,T4

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b10001111000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T7,T12

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T7,T12

FSM Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T2,T3
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T2,T3
ReadWaitSt 252 Covered T2,T3,T4
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T5,T7,T11
IdleSt->ReadSt 236 Covered T1,T2,T3
InitSt->ErrorSt 315 Covered T2,T10,T100
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T3,T162,T182
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T1,T5,T7
ReadSt->ReadWaitSt 252 Covered T2,T3,T4
ReadWaitSt->ErrorSt 276 Covered T167,T208,T151
ReadWaitSt->IdleSt 270 Covered T2,T3,T4
ResetSt->ErrorSt 315 Covered T1,T73,T74
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T1,T5,T7
CheckFailError 317 Covered T145,T159,T160
FsmStateError 289 Covered T1,T2,T3
MacroEccCorrError 221 Covered T66,T158,T85
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T8,T14,T170
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T1,T5,T7
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T145,T159,T160
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T1,T2,T3
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T158,T85,T75
MacroEccCorrError->NoError 235 Covered T66,T70,T71
NoError->AccessError 256 Covered T1,T5,T7
NoError->CheckFailError 317 Covered T145,T159,T160
NoError->FsmStateError 289 Covered T1,T2,T3
NoError->MacroEccCorrError 221 Covered T66,T158,T85



Branch Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T2,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T5,T7,T12
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T158,T85,T75
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T182,T196,T197
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T2,T3,T4
ReadSt - - - - - - - 1 0 - - - - - - Covered T7,T8,T102
ReadSt - - - - - - - 0 - - - - - - - Covered T1,T5,T7
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T66,T70,T71
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T2,T3,T4
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T167,T208,T151
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T2,T3,T4
ErrorSt - - - - - - - - - - - - 1 - - Covered T24,T25,T26
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - - 1 - Covered T1,T5,T7
ErrorSt - - - - - - - - - - - - - 0 1 Covered T1,T5,T7
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T2,T3
default - - - - - - - - - - - - - - - Covered T24,T25,T26


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T145,T159,T160
1 0 Covered T145,T159,T160
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T2,T3
1 0 Covered T1,T2,T3
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 459100430 458229571 0 0
DigestKnown_A 459100430 458229571 0 0
DigestOffsetMustBeRepresentable_A 1147 1147 0 0
EccErrorState_A 459100430 8757 0 0
ErrorKnown_A 459100430 458229571 0 0
FsmStateKnown_A 459100430 458229571 0 0
InitDoneKnown_A 459100430 458229571 0 0
InitReadLocksPartition_A 459100430 92187879 0 0
InitWriteLocksPartition_A 459100430 92187879 0 0
OffsetMustBeBlockAligned_A 1147 1147 0 0
OtpAddrKnown_A 459100430 458229571 0 0
OtpCmdKnown_A 459100430 458229571 0 0
OtpErrorState_A 459100430 47 0 0
OtpReqKnown_A 459100430 458229571 0 0
OtpSizeKnown_A 459100430 458229571 0 0
OtpWdataKnown_A 459100430 458229571 0 0
ReadLockPropagation_A 459100430 201970937 0 0
SizeMustBeBlockAligned_A 1147 1147 0 0
TlulGntKnown_A 459100430 458229571 0 0
TlulRdataKnown_A 459100430 458229571 0 0
TlulReadOnReadLock_A 459100430 8186 0 0
TlulRerrorKnown_A 459100430 458229571 0 0
TlulRvalidKnown_A 459100430 458229571 0 0
WriteLockPropagation_A 459100430 1746337 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 459100430 23409853 0 0
u_state_regs_A 459100430 458229571 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1147 1147 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 8757 0 0
T145 10273 2364 0 0
T159 0 3866 0 0
T160 0 2527 0 0
T173 18152 0 0 0
T174 9978 0 0 0
T175 13270 0 0 0
T176 74720 0 0 0
T177 109514 0 0 0
T178 282297 0 0 0
T179 627383 0 0 0
T180 28078 0 0 0
T181 37576 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 92187879 0 0
T1 15038 6025 0 0
T2 13130 4206 0 0
T3 13472 3948 0 0
T4 21452 324 0 0
T5 117845 7775 0 0
T6 28214 1518 0 0
T7 213200 22198 0 0
T10 14657 4192 0 0
T11 9610 4214 0 0
T12 92555 958 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 92187879 0 0
T1 15038 6025 0 0
T2 13130 4206 0 0
T3 13472 3948 0 0
T4 21452 324 0 0
T5 117845 7775 0 0
T6 28214 1518 0 0
T7 213200 22198 0 0
T10 14657 4192 0 0
T11 9610 4214 0 0
T12 92555 958 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1147 1147 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 47 0 0
T24 102812 0 0 0
T49 17672 0 0 0
T67 36571 0 0 0
T123 25954 0 0 0
T149 21803 0 0 0
T158 10120 0 0 0
T167 0 1 0 0
T182 12001 1 0 0
T183 12823 0 0 0
T196 13448 1 0 0
T197 14526 1 0 0
T209 0 1 0 0
T210 0 1 0 0
T211 0 1 0 0
T212 0 1 0 0
T213 0 1 0 0
T214 0 1 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 201970937 0 0
T1 15038 2269 0 0
T2 13130 0 0 0
T3 13472 0 0 0
T4 21452 0 0 0
T5 117845 12396 0 0
T6 28214 0 0 0
T7 213200 4904 0 0
T8 0 366905 0 0
T10 14657 0 0 0
T11 9610 0 0 0
T12 92555 33444 0 0
T16 0 286515 0 0
T17 0 1237 0 0
T88 0 1422 0 0
T91 0 8147 0 0
T198 0 17575 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1147 1147 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 8186 0 0
T1 15038 5 0 0
T2 13130 0 0 0
T3 13472 0 0 0
T4 21452 0 0 0
T5 117845 6 0 0
T6 28214 0 0 0
T7 213200 23 0 0
T8 0 24 0 0
T10 14657 0 0 0
T11 9610 0 0 0
T12 92555 10 0 0
T16 0 41 0 0
T89 0 14 0 0
T90 0 12 0 0
T91 0 5 0 0
T198 0 4 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 1746337 0 0
T5 117845 9666 0 0
T6 28214 0 0 0
T7 213200 2778 0 0
T10 14657 0 0 0
T11 9610 0 0 0
T12 92555 0 0 0
T16 110040 71036 0 0
T88 98941 0 0 0
T89 40728 0 0 0
T90 23742 0 0 0
T92 0 1273 0 0
T96 0 4218 0 0
T97 0 8191 0 0
T101 0 4952 0 0
T103 0 6905 0 0
T122 0 6874 0 0
T199 0 1328 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 23409853 0 0
T5 117845 85520 0 0
T6 28214 0 0 0
T7 213200 66850 0 0
T10 14657 0 0 0
T11 9610 0 0 0
T12 92555 74567 0 0
T16 110040 474134 0 0
T17 0 24971 0 0
T88 98941 15147 0 0
T89 40728 0 0 0
T90 23742 0 0 0
T91 0 39436 0 0
T92 0 57811 0 0
T93 0 26387 0 0
T106 0 3598 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

Line Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions3333100.00
Logical3333100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT85,T27,T37

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT5,T88,T165

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT24,T25,T26

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT73,T145,T164
1CoveredT73,T145,T164

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T4

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T5,T7
11CoveredT2,T3,T4

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b11001010000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT7,T11,T16

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT7,T11,T16

FSM Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T2,T3
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T2,T3
ReadWaitSt 252 Covered T2,T3,T4
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T5,T7,T16
IdleSt->ReadSt 236 Covered T1,T2,T3
InitSt->ErrorSt 315 Covered T2,T3,T10
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T11,T182,T196
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T1,T7,T12
ReadSt->ReadWaitSt 252 Covered T2,T3,T4
ReadWaitSt->ErrorSt 276 Covered T165,T150,T215
ReadWaitSt->IdleSt 270 Covered T2,T3,T4
ResetSt->ErrorSt 315 Covered T1,T73,T74
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T1,T7,T12
CheckFailError 317 Covered T73,T145,T164
FsmStateError 289 Covered T1,T2,T3
MacroEccCorrError 221 Covered T5,T88,T85
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T16,T8,T14
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T1,T7,T12
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T73,T145,T164
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T1,T2,T3
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T88,T85,T27
MacroEccCorrError->NoError 235 Covered T5,T165,T166
NoError->AccessError 256 Covered T1,T7,T12
NoError->CheckFailError 317 Covered T73,T145,T164
NoError->FsmStateError 289 Covered T1,T2,T3
NoError->MacroEccCorrError 221 Covered T5,T88,T85



Branch Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T2,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T7,T11,T16
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T85,T27,T37
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T11,T158,T216
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T2,T3,T4
ReadSt - - - - - - - 1 0 - - - - - - Covered T7,T8,T96
ReadSt - - - - - - - 0 - - - - - - - Covered T1,T7,T12
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T5,T88,T165
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T2,T3,T4
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T165,T150,T215
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T2,T3,T4
ErrorSt - - - - - - - - - - - - 1 - - Covered T24,T25,T26
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - - 1 - Covered T1,T5,T7
ErrorSt - - - - - - - - - - - - - 0 1 Covered T1,T5,T7
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T2,T3
default - - - - - - - - - - - - - - - Covered T24,T25,T26


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T73,T145,T164
1 0 Covered T73,T145,T164
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T2,T3
1 0 Covered T1,T2,T3
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 459100430 458229571 0 0
DigestKnown_A 459100430 458229571 0 0
DigestOffsetMustBeRepresentable_A 1147 1147 0 0
EccErrorState_A 459100430 19043 0 0
ErrorKnown_A 459100430 458229571 0 0
FsmStateKnown_A 459100430 458229571 0 0
InitDoneKnown_A 459100430 458229571 0 0
InitReadLocksPartition_A 459100430 92368715 0 0
InitWriteLocksPartition_A 459100430 92368715 0 0
OffsetMustBeBlockAligned_A 1147 1147 0 0
OtpAddrKnown_A 459100430 458229571 0 0
OtpCmdKnown_A 459100430 458229571 0 0
OtpErrorState_A 459100430 38 0 0
OtpReqKnown_A 459100430 458229571 0 0
OtpSizeKnown_A 459100430 458229571 0 0
OtpWdataKnown_A 459100430 458229571 0 0
ReadLockPropagation_A 459100430 196605530 0 0
SizeMustBeBlockAligned_A 1147 1147 0 0
TlulGntKnown_A 459100430 458229571 0 0
TlulRdataKnown_A 459100430 458229571 0 0
TlulReadOnReadLock_A 459100430 7923 0 0
TlulRerrorKnown_A 459100430 458229571 0 0
TlulRvalidKnown_A 459100430 458229571 0 0
WriteLockPropagation_A 459100430 777671 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 459100430 9531794 0 0
u_state_regs_A 459100430 458229571 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1147 1147 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 19043 0 0
T15 152455 0 0 0
T73 8078 2798 0 0
T93 44020 0 0 0
T94 50790 0 0 0
T122 55794 0 0 0
T145 0 2364 0 0
T153 19139 0 0 0
T155 29110 0 0 0
T159 0 3866 0 0
T161 0 3922 0 0
T164 0 3117 0 0
T169 0 2976 0 0
T170 98448 0 0 0
T171 61990 0 0 0
T172 10862 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 92368715 0 0
T1 15038 6076 0 0
T2 13130 4223 0 0
T3 13472 3965 0 0
T4 21452 375 0 0
T5 117845 8030 0 0
T6 28214 1637 0 0
T7 213200 22943 0 0
T10 14657 4209 0 0
T11 9610 4238 0 0
T12 92555 1111 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 92368715 0 0
T1 15038 6076 0 0
T2 13130 4223 0 0
T3 13472 3965 0 0
T4 21452 375 0 0
T5 117845 8030 0 0
T6 28214 1637 0 0
T7 213200 22943 0 0
T10 14657 4209 0 0
T11 9610 4238 0 0
T12 92555 1111 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1147 1147 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 38 0 0
T8 391932 0 0 0
T11 9610 1 0 0
T12 92555 0 0 0
T16 110040 0 0 0
T48 11150 0 0 0
T88 98941 0 0 0
T89 40728 0 0 0
T90 23742 0 0 0
T91 48165 0 0 0
T100 12039 0 0 0
T150 0 1 0 0
T158 0 1 0 0
T165 0 1 0 0
T216 0 1 0 0
T217 0 1 0 0
T218 0 1 0 0
T219 0 1 0 0
T220 0 1 0 0
T221 0 1 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 196605530 0 0
T1 15038 2267 0 0
T2 13130 0 0 0
T3 13472 0 0 0
T4 21452 0 0 0
T5 117845 12116 0 0
T6 28214 0 0 0
T7 213200 3825 0 0
T8 0 370474 0 0
T10 14657 0 0 0
T11 9610 0 0 0
T12 92555 27402 0 0
T16 0 291273 0 0
T17 0 6214 0 0
T91 0 4503 0 0
T106 0 6087 0 0
T198 0 17565 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1147 1147 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 7923 0 0
T1 15038 6 0 0
T2 13130 0 0 0
T3 13472 0 0 0
T4 21452 0 0 0
T5 117845 4 0 0
T6 28214 0 0 0
T7 213200 14 0 0
T8 0 35 0 0
T10 14657 0 0 0
T11 9610 0 0 0
T12 92555 3 0 0
T16 0 54 0 0
T89 0 24 0 0
T90 0 17 0 0
T91 0 2 0 0
T198 0 6 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 777671 0 0
T8 391932 0 0 0
T16 110040 38056 0 0
T48 11150 0 0 0
T88 98941 0 0 0
T89 40728 0 0 0
T90 23742 0 0 0
T91 48165 0 0 0
T92 0 9496 0 0
T98 0 11544 0 0
T99 0 1464 0 0
T100 12039 0 0 0
T103 0 6417 0 0
T104 0 5754 0 0
T105 17106 0 0 0
T119 0 14731 0 0
T139 0 6574 0 0
T198 26490 0 0 0
T222 0 19654 0 0
T223 0 36285 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 9531794 0 0
T7 213200 66544 0 0
T8 391932 0 0 0
T11 9610 2619 0 0
T12 92555 0 0 0
T16 110040 178978 0 0
T48 11150 0 0 0
T88 98941 15096 0 0
T89 40728 0 0 0
T90 23742 0 0 0
T92 0 57590 0 0
T100 12039 0 0 0
T103 0 68818 0 0
T104 0 68380 0 0
T133 0 2533 0 0
T158 0 2163 0 0
T171 0 4455 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 459100430 458229571 0 0
T1 15038 14773 0 0
T2 13130 12895 0 0
T3 13472 13266 0 0
T4 21452 21277 0 0
T5 117845 116498 0 0
T6 28214 27655 0 0
T7 213200 208964 0 0
T10 14657 14492 0 0
T11 9610 9410 0 0
T12 92555 91892 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%