Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : prim_sync_reqack_data
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_sync_reqack_data.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_prim_edn_req.u_prim_sync_reqack_data 100.00 100.00 100.00



Module Instance : tb.dut.u_prim_edn_req.u_prim_sync_reqack_data

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.83 100.00 83.33 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
98.08 100.00 92.31 100.00 100.00 u_prim_edn_req


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_prim_sync_reqack 95.83 100.00 83.33 100.00 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : prim_sync_reqack_data
Line No.TotalCoveredPercent
TOTAL11100.00
CONT_ASSIGN9311100.00
CONT_ASSIGN15300
CONT_ASSIGN15600
ALWAYS15900
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_sync_reqack_data.sv' or '../src/lowrisc_prim_all_0.1/rtl/prim_sync_reqack_data.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
93 1 1
153 unreachable
156 unreachable
159 unreachable
160 unreachable
162 unreachable


Assert Coverage for Module : prim_sync_reqack_data
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
gen_assert_data_dst2src.SyncReqAckDataHoldDst2SrcA 493378167 521305 0 0
gen_assert_data_dst2src.SyncReqAckDataHoldDst2SrcB 493378167 521262 0 0


gen_assert_data_dst2src.SyncReqAckDataHoldDst2SrcA
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 521305 0 0
T1 27906 178 0 0
T2 32541 0 0 0
T3 66878 646 0 0
T4 127016 300 0 0
T5 0 5136 0 0
T8 11221 0 0 0
T9 12244 0 0 0
T10 29955 0 0 0
T11 45143 0 0 0
T12 41925 576 0 0
T13 26246 0 0 0
T26 0 263 0 0
T38 0 820 0 0
T44 0 364 0 0
T108 0 552 0 0
T113 0 706 0 0

gen_assert_data_dst2src.SyncReqAckDataHoldDst2SrcB
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 521262 0 0
T1 27906 178 0 0
T2 32541 0 0 0
T3 66878 646 0 0
T4 127016 300 0 0
T5 0 5136 0 0
T8 11221 0 0 0
T9 12244 0 0 0
T10 29955 0 0 0
T11 45143 0 0 0
T12 41925 576 0 0
T13 26246 0 0 0
T26 0 262 0 0
T38 0 820 0 0
T44 0 364 0 0
T108 0 552 0 0
T113 0 706 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%