dashboard | hierarchy | modlist | groups | tests | asserts

Module Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.23 100.00 100.00 85.00 100.00 96.15


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.73 100.00 100.00 100.00 85.00 98.15 97.22


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.17 94.16 96.15 96.94 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.33 100.00 100.00 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.32 100.00 100.00 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.17 94.16 96.15 96.94 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.75 100.00 97.06 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.83 100.00 97.06 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.17 94.16 96.15 96.94 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00

Go back
Module Instances:
tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL8686100.00
CONT_ASSIGN13811100.00
ALWAYS15333100.00
ALWAYS1646161100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN33911100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
153 1 1
154 1 1
156 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
==> MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
224 excluded
Exclude Annotation: VC_COV_UNR
225 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
276 excluded
Exclude Annotation: VC_COV_UNR
277 excluded
Exclude Annotation: VC_COV_UNR
279 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
339 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions2929100.00
Logical2929100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTestsExclude Annotation
0CoveredT1,T2,T3
1Excluded VC_COV_UNR

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTestsExclude Annotation
0CoveredT1,T3,T4
1Excluded VC_COV_UNR

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T4,T8
1CoveredT15,T21,T22

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT80,T145,T146
1CoveredT80,T145,T146

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT2,T4,T8
1CoveredT2,T4,T8

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T3,T4
11CoveredT1,T3,T4

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T3,T4
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T3,T4
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T4,T10

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T4,T10

FSM Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 10 76.92
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T2,T4,T8
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T3,T4
ReadWaitSt 252 Covered T1,T3,T4
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T2,T4,T8
IdleSt->ReadSt 236 Covered T1,T3,T4
InitSt->ErrorSt 315 Not Covered
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T9,T13,T200
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T3,T4,T44
ReadSt->ReadWaitSt 252 Covered T1,T3,T4
ReadWaitSt->ErrorSt 276 Not Covered
ReadWaitSt->IdleSt 270 Covered T1,T3,T4
ResetSt->ErrorSt 315 Covered T79,T80,T81
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 7 7 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTestsExclude Annotation
AccessError 256 Covered T3,T4,T44
CheckFailError 317 Covered T80,T145,T146
FsmStateError 289 Covered T2,T4,T8
MacroEccCorrError 221 Excluded VC_COV_UNR
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded
AccessError->FsmStateError 325 Covered T4,T109,T6
AccessError->MacroEccCorrError 221 Excluded
AccessError->NoError 235 Covered T3,T4,T44
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded
CheckFailError->NoError 235 Covered T80,T145,T146
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded
FsmStateError->NoError 235 Covered T2,T4,T8
MacroEccCorrError->AccessError 256 Excluded
MacroEccCorrError->CheckFailError 317 Excluded
MacroEccCorrError->FsmStateError 325 Excluded
MacroEccCorrError->NoError 235 Excluded
NoError->AccessError 256 Covered T3,T4,T44
NoError->CheckFailError 317 Covered T80,T145,T146
NoError->FsmStateError 289 Covered T2,T4,T8
NoError->MacroEccCorrError 221 Excluded



Branch Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 41 41 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 18 18 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00
IF 153 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T4


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T4


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T3,T4,T10
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTestsExclude Annotation
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 1 1 1 - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T3,T4
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T3,T4
ReadSt - - - - - - - 1 0 - - - - - - Covered T3,T36,T102
ReadSt - - - - - - - 0 - - - - - - - Covered T3,T4,T44
ReadWaitSt - - - - - - - - - 1 1 1 - - - Excluded VC_COV_UNR
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T3,T4
ReadWaitSt - - - - - - - - - 1 0 - - - - Excluded VC_COV_UNR
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T3,T4
ErrorSt - - - - - - - - - - - - 1 - - Covered T15,T21,T22
ErrorSt - - - - - - - - - - - - 0 - - Covered T2,T4,T8
ErrorSt - - - - - - - - - - - - - 1 - Covered T2,T4,T10
ErrorSt - - - - - - - - - - - - - 0 1 Covered T2,T4,T10
ErrorSt - - - - - - - - - - - - - 0 0 Covered T2,T4,T8
default - - - - - - - - - - - - - - - Covered T15,T21,T22


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T80,T145,T146
1 0 Covered T80,T145,T146
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T2,T4,T8
1 0 Covered T2,T4,T8
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 153 if ((otp_err_e'(otp_err_i) inside {MacroEccCorrError, MacroEccUncorrError}))

Branches:
-1-StatusTests
1 Covered T4,T8,T5
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 25 96.15
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 25 96.15




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 493378167 492526813 0 0
DigestKnown_A 493378167 492526813 0 0
DigestOffsetMustBeRepresentable_A 1149 1149 0 0
EccErrorState_A 493378167 11430 0 0
ErrorKnown_A 493378167 492526813 0 0
FsmStateKnown_A 493378167 492526813 0 0
InitDoneKnown_A 493378167 492526813 0 0
InitReadLocksPartition_A 493378167 105748863 0 0
InitWriteLocksPartition_A 493378167 105748863 0 0
OffsetMustBeBlockAligned_A 1149 1149 0 0
OtpAddrKnown_A 493378167 492526813 0 0
OtpCmdKnown_A 493378167 492526813 0 0
OtpErrorState_A 493378167 0 0 0
OtpReqKnown_A 493378167 492526813 0 0
OtpSizeKnown_A 493378167 492526813 0 0
OtpWdataKnown_A 493378167 492526813 0 0
ReadLockPropagation_A 493378167 213446491 0 0
SizeMustBeBlockAligned_A 1149 1149 0 0
TlulGntKnown_A 493378167 492526813 0 0
TlulRdataKnown_A 493378167 492526813 0 0
TlulReadOnReadLock_A 493378167 7730 0 0
TlulRerrorKnown_A 493378167 492526813 0 0
TlulRvalidKnown_A 493378167 492526813 0 0
WriteLockPropagation_A 493378167 2776757 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 493378167 29769152 0 0
u_state_regs_A 493378167 492526813 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 11430 0 0
T41 14851 0 0 0
T80 12855 2748 0 0
T145 0 2707 0 0
T146 0 2965 0 0
T154 0 3010 0 0
T155 44793 0 0 0
T156 14355 0 0 0
T157 11661 0 0 0
T158 59818 0 0 0
T159 402723 0 0 0
T160 15077 0 0 0
T161 9801 0 0 0
T162 65535 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 105748863 0 0
T1 27906 371 0 0
T2 32541 24639 0 0
T3 66878 887 0 0
T4 127016 55662 0 0
T8 11221 5399 0 0
T9 12244 3676 0 0
T10 29955 20043 0 0
T11 45143 33957 0 0
T12 41925 1514 0 0
T13 26246 16117 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 105748863 0 0
T1 27906 371 0 0
T2 32541 24639 0 0
T3 66878 887 0 0
T4 127016 55662 0 0
T8 11221 5399 0 0
T9 12244 3676 0 0
T10 29955 20043 0 0
T11 45143 33957 0 0
T12 41925 1514 0 0
T13 26246 16117 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 213446491 0 0
T3 66878 7175 0 0
T4 127016 8293 0 0
T5 979469 391039 0 0
T8 11221 0 0 0
T9 12244 0 0 0
T10 29955 22352 0 0
T11 45143 0 0 0
T12 41925 943 0 0
T13 26246 0 0 0
T26 0 141 0 0
T38 0 699 0 0
T44 45251 17239 0 0
T109 0 68906 0 0
T113 0 5819 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 7730 0 0
T2 32541 7 0 0
T3 66878 10 0 0
T4 127016 15 0 0
T5 0 36 0 0
T8 11221 0 0 0
T9 12244 0 0 0
T10 29955 17 0 0
T11 45143 4 0 0
T12 41925 0 0 0
T13 26246 4 0 0
T15 0 15 0 0
T38 0 2 0 0
T44 45251 2 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 2776757 0 0
T3 66878 718 0 0
T4 127016 9299 0 0
T5 979469 0 0 0
T8 11221 0 0 0
T9 12244 0 0 0
T10 29955 0 0 0
T11 45143 0 0 0
T12 41925 0 0 0
T13 26246 0 0 0
T14 0 10511 0 0
T27 0 8779 0 0
T44 45251 0 0 0
T98 0 3096 0 0
T101 0 22176 0 0
T102 0 469 0 0
T107 0 9314 0 0
T108 0 4265 0 0
T115 0 8874 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 29769152 0 0
T3 66878 55867 0 0
T4 127016 43360 0 0
T5 979469 0 0 0
T8 11221 0 0 0
T9 12244 0 0 0
T10 29955 2719 0 0
T11 45143 2638 0 0
T12 41925 19329 0 0
T13 26246 2954 0 0
T38 0 6170 0 0
T44 45251 26185 0 0
T70 0 3477 0 0
T113 0 20818 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

Line Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions3333100.00
Logical3333100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT112,T147,T75

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T8
1CoveredT4,T141,T39

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T4,T8
1CoveredT15,T21,T22

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT144,T145,T146
1CoveredT144,T145,T146

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT2,T4,T8
1CoveredT2,T4,T9

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T3,T4
11CoveredT1,T3,T4

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00001000000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T3,T4
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T3,T4
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T8,T10

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T8,T10

FSM Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T2,T4,T8
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T3,T4
ReadWaitSt 252 Covered T1,T3,T4
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T2,T4,T10
IdleSt->ReadSt 236 Covered T1,T3,T4
InitSt->ErrorSt 315 Covered T9,T13,T200
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T8,T152,T172
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T3,T4,T11
ReadSt->ReadWaitSt 252 Covered T1,T3,T4
ReadWaitSt->ErrorSt 276 Covered T148,T201,T202
ReadWaitSt->IdleSt 270 Covered T1,T3,T4
ResetSt->ErrorSt 315 Covered T79,T80,T81
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T3,T4,T11
CheckFailError 317 Covered T144,T145,T146
FsmStateError 289 Covered T2,T4,T9
MacroEccCorrError 221 Covered T4,T112,T141
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T4,T11,T6
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T3,T11,T12
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T144,T145,T146
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T2,T4,T9
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T4,T112,T141
MacroEccCorrError->NoError 235 Covered T39,T61,T62
NoError->AccessError 256 Covered T3,T4,T11
NoError->CheckFailError 317 Covered T144,T145,T146
NoError->FsmStateError 289 Covered T2,T4,T9
NoError->MacroEccCorrError 221 Covered T4,T112,T141



Branch Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T4


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T4


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T3,T8,T10
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T112,T147,T75
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T8,T152,T172
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T3,T4
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T3,T4
ReadSt - - - - - - - 1 0 - - - - - - Covered T3,T17,T98
ReadSt - - - - - - - 0 - - - - - - - Covered T3,T4,T11
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T4,T141,T39
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T3,T8
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T148,T201,T202
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T3,T4
ErrorSt - - - - - - - - - - - - 1 - - Covered T15,T21,T22
ErrorSt - - - - - - - - - - - - 0 - - Covered T2,T4,T8
ErrorSt - - - - - - - - - - - - - 1 - Covered T2,T4,T10
ErrorSt - - - - - - - - - - - - - 0 1 Covered T2,T4,T10
ErrorSt - - - - - - - - - - - - - 0 0 Covered T2,T4,T8
default - - - - - - - - - - - - - - - Covered T15,T21,T22


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T144,T145,T146
1 0 Covered T144,T145,T146
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T2,T4,T9
1 0 Covered T2,T4,T8
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 493378167 492526813 0 0
DigestKnown_A 493378167 492526813 0 0
DigestOffsetMustBeRepresentable_A 1149 1149 0 0
EccErrorState_A 493378167 11573 0 0
ErrorKnown_A 493378167 492526813 0 0
FsmStateKnown_A 493378167 492526813 0 0
InitDoneKnown_A 493378167 492526813 0 0
InitReadLocksPartition_A 493378167 105928585 0 0
InitWriteLocksPartition_A 493378167 105928585 0 0
OffsetMustBeBlockAligned_A 1149 1149 0 0
OtpAddrKnown_A 493378167 492526813 0 0
OtpCmdKnown_A 493378167 492526813 0 0
OtpErrorState_A 493378167 76 0 0
OtpReqKnown_A 493378167 492526813 0 0
OtpSizeKnown_A 493378167 492526813 0 0
OtpWdataKnown_A 493378167 492526813 0 0
ReadLockPropagation_A 493378167 219670326 0 0
SizeMustBeBlockAligned_A 1149 1149 0 0
TlulGntKnown_A 493378167 492526813 0 0
TlulRdataKnown_A 493378167 492526813 0 0
TlulReadOnReadLock_A 493378167 8014 0 0
TlulRerrorKnown_A 493378167 492526813 0 0
TlulRvalidKnown_A 493378167 492526813 0 0
WriteLockPropagation_A 493378167 2430542 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 493378167 29489284 0 0
u_state_regs_A 493378167 492526813 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 11573 0 0
T144 12283 2891 0 0
T145 0 2707 0 0
T146 0 2965 0 0
T154 0 3010 0 0
T163 10093 0 0 0
T164 961102 0 0 0
T165 16371 0 0 0
T166 62385 0 0 0
T167 30569 0 0 0
T168 13190 0 0 0
T169 62366 0 0 0
T170 32159 0 0 0
T171 5203 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 105928585 0 0
T1 27906 490 0 0
T2 32541 24690 0 0
T3 66878 1108 0 0
T4 127016 55985 0 0
T8 11221 5440 0 0
T9 12244 3727 0 0
T10 29955 20094 0 0
T11 45143 34008 0 0
T12 41925 1650 0 0
T13 26246 16168 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 105928585 0 0
T1 27906 490 0 0
T2 32541 24690 0 0
T3 66878 1108 0 0
T4 127016 55985 0 0
T8 11221 5440 0 0
T9 12244 3727 0 0
T10 29955 20094 0 0
T11 45143 34008 0 0
T12 41925 1650 0 0
T13 26246 16168 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 76 0 0
T5 979469 0 0 0
T8 11221 1 0 0
T9 12244 0 0 0
T10 29955 0 0 0
T11 45143 0 0 0
T12 41925 0 0 0
T13 26246 0 0 0
T15 154671 0 0 0
T38 53667 0 0 0
T44 45251 0 0 0
T97 0 1 0 0
T148 0 1 0 0
T152 0 1 0 0
T172 0 1 0 0
T176 0 1 0 0
T177 0 1 0 0
T178 0 1 0 0
T179 0 1 0 0
T181 0 1 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 219670326 0 0
T3 66878 5921 0 0
T4 127016 7266 0 0
T5 979469 412136 0 0
T8 11221 0 0 0
T9 12244 0 0 0
T10 29955 22350 0 0
T11 45143 36061 0 0
T12 41925 2480 0 0
T13 26246 0 0 0
T26 0 139 0 0
T38 0 3121 0 0
T44 45251 19298 0 0
T113 0 9459 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 8014 0 0
T2 32541 8 0 0
T3 66878 21 0 0
T4 127016 10 0 0
T5 0 49 0 0
T8 11221 0 0 0
T9 12244 0 0 0
T10 29955 22 0 0
T11 45143 15 0 0
T12 41925 3 0 0
T13 26246 0 0 0
T15 0 57 0 0
T38 0 1 0 0
T44 45251 4 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 2430542 0 0
T3 66878 2265 0 0
T4 127016 0 0 0
T5 979469 0 0 0
T8 11221 0 0 0
T9 12244 0 0 0
T10 29955 0 0 0
T11 45143 0 0 0
T12 41925 0 0 0
T13 26246 0 0 0
T14 0 13217 0 0
T44 45251 0 0 0
T78 0 47697 0 0
T95 0 15320 0 0
T100 0 5951 0 0
T101 0 17523 0 0
T102 0 1881 0 0
T104 0 8046 0 0
T107 0 8685 0 0
T113 0 11535 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 29489284 0 0
T3 66878 55663 0 0
T4 127016 0 0 0
T5 979469 0 0 0
T8 11221 3695 0 0
T9 12244 0 0 0
T10 29955 2702 0 0
T11 45143 2621 0 0
T12 41925 9585 0 0
T13 26246 2937 0 0
T38 0 32556 0 0
T44 45251 26134 0 0
T108 0 14797 0 0
T113 0 20767 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

Line Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions343397.06
Logical343397.06
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT70,T112,T75

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T8
1CoveredT38,T148,T84

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T4,T8
1CoveredT15,T21,T22

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT81,T143,T144
1CoveredT81,T143,T144

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT2,T4,T8
1CoveredT2,T4,T8

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T8

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T3,T4
11CoveredT1,T3,T8

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00110110000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T3,T8
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T3,T8
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T4,T10

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T4,T10

FSM Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T2,T4,T8
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T3,T4
ReadWaitSt 252 Covered T1,T3,T8
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T2,T4,T10
IdleSt->ReadSt 236 Covered T1,T3,T4
InitSt->ErrorSt 315 Covered T9,T13,T203
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T8,T152,T172
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T3,T4,T10
ReadSt->ReadWaitSt 252 Covered T1,T3,T8
ReadWaitSt->ErrorSt 276 Covered T202,T204,T205
ReadWaitSt->IdleSt 270 Covered T1,T3,T8
ResetSt->ErrorSt 315 Covered T79,T80,T81
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T3,T4,T10
CheckFailError 317 Covered T81,T143,T144
FsmStateError 289 Covered T2,T4,T8
MacroEccCorrError 221 Covered T38,T70,T112
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T4,T10,T5
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T3,T4,T10
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T81,T143,T144
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T2,T4,T8
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T70,T112,T75
MacroEccCorrError->NoError 235 Covered T38,T84,T61
NoError->AccessError 256 Covered T3,T4,T10
NoError->CheckFailError 317 Covered T81,T143,T144
NoError->FsmStateError 289 Covered T2,T4,T8
NoError->MacroEccCorrError 221 Covered T38,T70,T112



Branch Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T8
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T8


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T8


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T3,T4,T10
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T70,T112,T75
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T173,T174,T175
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T3,T4
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T3,T8
ReadSt - - - - - - - 1 0 - - - - - - Covered T36,T17,T98
ReadSt - - - - - - - 0 - - - - - - - Covered T3,T4,T10
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T38,T148,T84
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T3,T8
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T202,T204,T205
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T3,T8
ErrorSt - - - - - - - - - - - - 1 - - Covered T15,T21,T22
ErrorSt - - - - - - - - - - - - 0 - - Covered T2,T4,T8
ErrorSt - - - - - - - - - - - - - 1 - Covered T2,T4,T10
ErrorSt - - - - - - - - - - - - - 0 1 Covered T2,T4,T10
ErrorSt - - - - - - - - - - - - - 0 0 Covered T2,T4,T8
default - - - - - - - - - - - - - - - Covered T15,T21,T22


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T81,T143,T144
1 0 Covered T81,T143,T144
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T2,T4,T8
1 0 Covered T2,T4,T8
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 493378167 492526813 0 0
DigestKnown_A 493378167 492526813 0 0
DigestOffsetMustBeRepresentable_A 1149 1149 0 0
EccErrorState_A 493378167 19334 0 0
ErrorKnown_A 493378167 492526813 0 0
FsmStateKnown_A 493378167 492526813 0 0
InitDoneKnown_A 493378167 492526813 0 0
InitReadLocksPartition_A 493378167 106107095 0 0
InitWriteLocksPartition_A 493378167 106107095 0 0
OffsetMustBeBlockAligned_A 1149 1149 0 0
OtpAddrKnown_A 493378167 492526813 0 0
OtpCmdKnown_A 493378167 492526813 0 0
OtpErrorState_A 493378167 50 0 0
OtpReqKnown_A 493378167 492526813 0 0
OtpSizeKnown_A 493378167 492526813 0 0
OtpWdataKnown_A 493378167 492526813 0 0
ReadLockPropagation_A 493378167 219437197 0 0
SizeMustBeBlockAligned_A 1149 1149 0 0
TlulGntKnown_A 493378167 492526813 0 0
TlulRdataKnown_A 493378167 492526813 0 0
TlulReadOnReadLock_A 493378167 8413 0 0
TlulRerrorKnown_A 493378167 492526813 0 0
TlulRvalidKnown_A 493378167 492526813 0 0
WriteLockPropagation_A 493378167 1780845 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 493378167 19726912 0 0
u_state_regs_A 493378167 492526813 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 19334 0 0
T81 15295 2843 0 0
T143 0 3522 0 0
T144 0 2891 0 0
T145 0 2707 0 0
T149 0 2189 0 0
T153 0 2172 0 0
T154 0 3010 0 0
T184 16106 0 0 0
T206 56251 0 0 0
T207 44010 0 0 0
T208 13124 0 0 0
T209 28863 0 0 0
T210 87525 0 0 0
T211 31614 0 0 0
T212 108143 0 0 0
T213 33325 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 106107095 0 0
T1 27906 609 0 0
T2 32541 24741 0 0
T3 66878 1329 0 0
T4 127016 56308 0 0
T8 11221 5474 0 0
T9 12244 3778 0 0
T10 29955 20145 0 0
T11 45143 34059 0 0
T12 41925 1786 0 0
T13 26246 16219 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 106107095 0 0
T1 27906 609 0 0
T2 32541 24741 0 0
T3 66878 1329 0 0
T4 127016 56308 0 0
T8 11221 5474 0 0
T9 12244 3778 0 0
T10 29955 20145 0 0
T11 45143 34059 0 0
T12 41925 1786 0 0
T13 26246 16219 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 50 0 0
T50 11329 0 0 0
T75 11920 0 0 0
T93 81676 0 0 0
T94 27431 0 0 0
T95 84983 0 0 0
T96 13748 0 0 0
T97 12910 0 0 0
T98 70733 0 0 0
T102 66511 0 0 0
T173 14771 1 0 0
T174 0 1 0 0
T175 0 1 0 0
T180 0 1 0 0
T182 0 1 0 0
T183 0 1 0 0
T184 0 1 0 0
T185 0 1 0 0
T186 0 1 0 0
T187 0 1 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 219437197 0 0
T3 66878 7404 0 0
T4 127016 8291 0 0
T5 979469 411044 0 0
T8 11221 0 0 0
T9 12244 0 0 0
T10 29955 22348 0 0
T11 45143 0 0 0
T12 41925 2254 0 0
T13 26246 0 0 0
T26 0 802 0 0
T38 0 695 0 0
T44 45251 16488 0 0
T108 0 3241 0 0
T113 0 13257 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 8413 0 0
T2 32541 6 0 0
T3 66878 20 0 0
T4 127016 18 0 0
T5 0 34 0 0
T8 11221 0 0 0
T9 12244 0 0 0
T10 29955 21 0 0
T11 45143 12 0 0
T12 41925 1 0 0
T13 26246 0 0 0
T15 0 106 0 0
T38 0 4 0 0
T44 45251 5 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 1780845 0 0
T3 66878 2818 0 0
T4 127016 0 0 0
T5 979469 0 0 0
T8 11221 0 0 0
T9 12244 0 0 0
T10 29955 0 0 0
T11 45143 0 0 0
T12 41925 0 0 0
T13 26246 0 0 0
T14 0 6561 0 0
T44 45251 0 0 0
T78 0 55724 0 0
T98 0 3297 0 0
T105 0 16692 0 0
T106 0 15638 0 0
T129 0 11823 0 0
T188 0 18956 0 0
T189 0 7098 0 0
T190 0 7684 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 19726912 0 0
T3 66878 55459 0 0
T4 127016 23279 0 0
T5 979469 0 0 0
T8 11221 0 0 0
T9 12244 0 0 0
T10 29955 2685 0 0
T11 45143 0 0 0
T12 41925 36113 0 0
T13 26246 2920 0 0
T27 0 32692 0 0
T28 0 8395 0 0
T44 45251 26083 0 0
T108 0 14746 0 0
T198 0 2719 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 493378167 492526813 0 0
T1 27906 27398 0 0
T2 32541 32315 0 0
T3 66878 65392 0 0
T4 127016 125517 0 0
T8 11221 10997 0 0
T9 12244 11921 0 0
T10 29955 29711 0 0
T11 45143 44872 0 0
T12 41925 41178 0 0
T13 26246 25964 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%