Line Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
| Line No. | Total | Covered | Percent |
TOTAL | | 91 | 88 | 96.70 |
CONT_ASSIGN | 138 | 1 | 1 | 100.00 |
CONT_ASSIGN | 149 | 1 | 1 | 100.00 |
ALWAYS | 164 | 68 | 65 | 95.59 |
CONT_ASSIGN | 334 | 1 | 1 | 100.00 |
CONT_ASSIGN | 336 | 1 | 1 | 100.00 |
CONT_ASSIGN | 342 | 1 | 1 | 100.00 |
CONT_ASSIGN | 349 | 1 | 1 | 100.00 |
CONT_ASSIGN | 350 | 1 | 1 | 100.00 |
CONT_ASSIGN | 354 | 1 | 1 | 100.00 |
CONT_ASSIGN | 358 | 1 | 1 | 100.00 |
CONT_ASSIGN | 395 | 1 | 1 | 100.00 |
CONT_ASSIGN | 420 | 1 | 1 | 100.00 |
CONT_ASSIGN | 454 | 1 | 1 | 100.00 |
ALWAYS | 461 | 3 | 3 | 100.00 |
ALWAYS | 464 | 8 | 8 | 100.00 |
137 // Output partition error state.
138 1/1 assign error_o = error_q;
Tests: T1 T2 T3
139
140 // This partition cannot do any write accesses, hence we tie this
141 // constantly off.
142 assign otp_wdata_o = '0;
143 // Depending on the partition configuration, the wrapper is instructed to ignore integrity
144 // calculations and checks. To be on the safe side, the partition filters error responses at this
145 // point and does not report any integrity errors if integrity is disabled.
146 otp_err_e otp_err;
147 if (Info.integrity) begin : gen_integrity
148 assign otp_cmd_o = prim_otp_pkg::Read;
149 1/1 assign otp_err = otp_err_e'(otp_err_i);
Tests: T1 T2 T3
150 end else begin : gen_no_integrity
151 assign otp_cmd_o = prim_otp_pkg::ReadRaw;
152 always_comb begin
153 if (otp_err_e'(otp_err_i) inside {MacroEccCorrError, MacroEccUncorrError}) begin
154 otp_err = NoError;
155 end else begin
156 otp_err = otp_err_e'(otp_err_i);
157 end
158 end
159 end
160
161 `ASSERT_KNOWN(FsmStateKnown_A, state_q)
162 always_comb begin : p_fsm
163 // Default assignments
164 1/1 state_d = state_q;
Tests: T1 T2 T3
165
166 // Response to init request
167 1/1 init_done_o = 1'b0;
Tests: T1 T2 T3
168
169 // OTP signals
170 1/1 otp_req_o = 1'b0;
Tests: T1 T2 T3
171 1/1 otp_addr_sel = DigestAddrSel;
Tests: T1 T2 T3
172
173 // TL-UL signals
174 1/1 tlul_gnt_o = 1'b0;
Tests: T1 T2 T3
175 1/1 tlul_rvalid_o = 1'b0;
Tests: T1 T2 T3
176 1/1 tlul_rerror_o = '0;
Tests: T1 T2 T3
177
178 // Enable for buffered digest register
179 1/1 digest_reg_en = 1'b0;
Tests: T1 T2 T3
180
181 // Error Register
182 1/1 error_d = error_q;
Tests: T1 T2 T3
183 1/1 pending_tlul_error_d = 1'b0;
Tests: T1 T2 T3
184 1/1 fsm_err_o = 1'b0;
Tests: T1 T2 T3
185
186 1/1 unique case (state_q)
Tests: T1 T2 T3
187 ///////////////////////////////////////////////////////////////////
188 // State right after reset. Wait here until we get a an
189 // initialization request.
190 ResetSt: begin
191 1/1 if (init_req_i) begin
Tests: T1 T2 T3
192 // If the partition does not have a digest, no initialization is necessary.
193 1/1 if (Info.sw_digest) begin
Tests: T1 T2 T3
194 1/1 state_d = InitSt;
Tests: T1 T2 T3
195 end else begin
196 unreachable state_d = IdleSt;
197 end
198 end
MISSING_ELSE
199 end
200 ///////////////////////////////////////////////////////////////////
201 // Initialization reads out the digest only in unbuffered
202 // partitions. Wait here until the OTP request has been granted.
203 // And then wait until the OTP word comes back.
204 InitSt: begin
205 1/1 otp_req_o = 1'b1;
Tests: T1 T2 T3
206 1/1 if (otp_gnt_i) begin
Tests: T1 T2 T3
207 1/1 state_d = InitWaitSt;
Tests: T1 T2 T3
208 end
MISSING_ELSE
209 end
210 ///////////////////////////////////////////////////////////////////
211 // Wait for OTP response and write to digest buffer register. In
212 // case an OTP transaction fails, latch the OTP error code and
213 // jump to a terminal error state.
214 InitWaitSt: begin
215 1/1 if (otp_rvalid_i) begin
Tests: T1 T2 T3
216 1/1 digest_reg_en = 1'b1;
Tests: T1 T2 T3
217 1/1 if (otp_err inside {NoError, MacroEccCorrError}) begin
Tests: T1 T2 T3
218 1/1 state_d = IdleSt;
Tests: T1 T2 T3
219 // At this point the only error that we could have gotten are correctable ECC errors.
220 1/1 if (otp_err != NoError) begin
Tests: T1 T2 T3
221 1/1 error_d = MacroEccCorrError;
Tests: T52 T44 T42
222 end
MISSING_ELSE
223 end else begin
224 1/1 state_d = ErrorSt;
Tests: T158 T164 T152
225 1/1 error_d = otp_err;
Tests: T158 T164 T152
226 end
227 end
MISSING_ELSE
228 end
229 ///////////////////////////////////////////////////////////////////
230 // Wait for TL-UL requests coming in.
231 // Then latch address and go to readout state.
232 IdleSt: begin
233 1/1 init_done_o = 1'b1;
Tests: T1 T2 T3
234 1/1 if (tlul_req_i) begin
Tests: T1 T2 T3
235 1/1 error_d = NoError; // clear recoverable soft errors.
Tests: T2 T3 T4
236 1/1 state_d = ReadSt;
Tests: T2 T3 T4
237 1/1 tlul_gnt_o = 1'b1;
Tests: T2 T3 T4
238 end
MISSING_ELSE
239 end
240 ///////////////////////////////////////////////////////////////////
241 // If the address is out of bounds, or if the partition is
242 // locked, signal back a bus error. Note that such an error does
243 // not cause the partition to go into error state. Otherwise if
244 // these checks pass, an OTP word is requested.
245 ReadSt: begin
246 1/1 init_done_o = 1'b1;
Tests: T2 T3 T4
247 // Double check the address range.
248 1/1 if (tlul_addr_in_range && mubi8_test_false_strict(access_o.read_lock)) begin
Tests: T2 T3 T4
249 1/1 otp_req_o = 1'b1;
Tests: T2 T3 T6
250 1/1 otp_addr_sel = DataAddrSel;
Tests: T2 T3 T6
251 1/1 if (otp_gnt_i) begin
Tests: T2 T3 T6
252 1/1 state_d = ReadWaitSt;
Tests: T2 T3 T6
253 end
MISSING_ELSE
254 end else begin
255 1/1 state_d = IdleSt;
Tests: T4 T5 T92
256 1/1 error_d = AccessError; // Signal this error, but do not go into terminal error state.
Tests: T4 T5 T92
257 1/1 tlul_rvalid_o = 1'b1;
Tests: T4 T5 T92
258 1/1 tlul_rerror_o = 2'b11; // This causes the TL-UL adapter to return a bus error.
Tests: T4 T5 T92
259 end
260 end
261 ///////////////////////////////////////////////////////////////////
262 // Wait for OTP response and release the TL-UL response. In
263 // case an OTP transaction fails, latch the OTP error code,
264 // signal a TL-Ul bus error and jump to a terminal error state.
265 ReadWaitSt: begin
266 1/1 init_done_o = 1'b1;
Tests: T2 T3 T6
267 1/1 if (otp_rvalid_i) begin
Tests: T2 T3 T6
268 1/1 tlul_rvalid_o = 1'b1;
Tests: T2 T3 T6
269 1/1 if (otp_err inside {NoError, MacroEccCorrError}) begin
Tests: T2 T3 T6
270 1/1 state_d = IdleSt;
Tests: T2 T3 T6
271 // At this point the only error that we could have gotten are correctable ECC errors.
272 1/1 if (otp_err != NoError) begin
Tests: T2 T3 T6
273 1/1 error_d = MacroEccCorrError;
Tests: T36 T116 T34
274 end
MISSING_ELSE
275 end else begin
276 1/1 state_d = ErrorSt;
Tests: T125 T153 T161
277 1/1 error_d = otp_err;
Tests: T125 T153 T161
278 // This causes the TL-UL adapter to return a bus error.
279 1/1 tlul_rerror_o = 2'b11;
Tests: T125 T153 T161
280 end
281 end
MISSING_ELSE
282 end
283 ///////////////////////////////////////////////////////////////////
284 // Terminal Error State. This locks access to the partition.
285 // Make sure the partition signals an error state if no error
286 // code has been latched so far.
287 ErrorSt: begin
288 1/1 if (error_q == NoError) begin
Tests: T2 T4 T6
289 1/1 error_d = FsmStateError;
Tests: T19 T20 T21
290 end
MISSING_ELSE
291
292 // Return bus errors if there are pending TL-UL requests.
293 1/1 if (pending_tlul_error_q) begin
Tests: T2 T4 T6
294 1/1 tlul_rerror_o = 2'b11;
Tests: T4 T6 T91
295 1/1 tlul_rvalid_o = 1'b1;
Tests: T4 T6 T91
296 1/1 end else if (tlul_req_i) begin
Tests: T2 T4 T6
297 1/1 tlul_gnt_o = 1'b1;
Tests: T4 T6 T91
298 1/1 pending_tlul_error_d = 1'b1;
Tests: T4 T6 T91
299 end
MISSING_ELSE
300 end
301 ///////////////////////////////////////////////////////////////////
302 // We should never get here. If we do (e.g. via a malicious
303 // glitch), error out immediately.
304 default: begin
305 state_d = ErrorSt;
306 fsm_err_o = 1'b1;
307 end
308 ///////////////////////////////////////////////////////////////////
309 endcase // state_q
310
311 // Unconditionally jump into the terminal error state in case of
312 // an ECC error or escalation, and lock access to the partition down.
313 // SEC_CM: PART.FSM.LOCAL_ESC
314 1/1 if (ecc_err) begin
Tests: T1 T2 T3
315 0/1 ==> state_d = ErrorSt;
316 0/1 ==> if (state_q != ErrorSt) begin
317 0/1 ==> error_d = CheckFailError;
318 end
==> MISSING_ELSE
319 end
MISSING_ELSE
320 // SEC_CM: PART.FSM.GLOBAL_ESC
321 1/1 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) begin
Tests: T1 T2 T3
322 1/1 state_d = ErrorSt;
Tests: T2 T4 T6
323 1/1 fsm_err_o = 1'b1;
Tests: T2 T4 T6
324 1/1 if (state_q != ErrorSt) begin
Tests: T2 T4 T6
325 1/1 error_d = FsmStateError;
Tests: T2 T4 T6
326 end
MISSING_ELSE
327 end
MISSING_ELSE
328 end
329
330 ///////////////////////////////////
331 // Signals to/from TL-UL Adapter //
332 ///////////////////////////////////
333
334 1/1 assign tlul_addr_d = tlul_addr_i;
Tests: T1 T2 T3
335 // Do not forward data in case of an error.
336 1/1 assign tlul_rdata_o = (tlul_rvalid_o && tlul_rerror_o == '0) ? otp_rdata_i[31:0] : '0;
Tests: T1 T2 T3
337
338 if (Info.offset == 0) begin : gen_zero_offset
339 assign tlul_addr_in_range = {1'b0, tlul_addr_q, 2'b00} < PartEnd;
340
341 end else begin : gen_nonzero_offset
342 1/1 assign tlul_addr_in_range = {tlul_addr_q, 2'b00} >= Info.offset &&
Tests: T1 T2 T3
343 {1'b0, tlul_addr_q, 2'b00} < PartEnd;
344 end
345
346 // Note that OTP works on halfword (16bit) addresses, hence need to
347 // shift the addresses appropriately.
348 logic [OtpByteAddrWidth-1:0] addr_calc;
349 1/1 assign addr_calc = (otp_addr_sel == DigestAddrSel) ? DigestOffset : {tlul_addr_q, 2'b00};
Tests: T1 T2 T3
350 1/1 assign otp_addr_o = addr_calc[OtpByteAddrWidth-1:OtpAddrShift];
Tests: T1 T2 T3
351
352 if (OtpAddrShift > 0) begin : gen_unused
353 logic unused_bits;
354 1/1 assign unused_bits = ^addr_calc[OtpAddrShift-1:0];
Tests: T1 T2 T3
355 end
356
357 // Request 32bit except in case of the digest.
358 1/1 assign otp_size_o = (otp_addr_sel == DigestAddrSel) ?
Tests: T1 T2 T3
359 OtpSizeWidth'(unsigned'(ScrmblBlockWidth / OtpWidth - 1)) :
360 OtpSizeWidth'(unsigned'(32 / OtpWidth - 1));
361
362 ////////////////
363 // Digest Reg //
364 ////////////////
365
366 if (Info.sw_digest) begin : gen_ecc_reg
367 // SEC_CM: PART.DATA_REG.INTEGRITY
368 otp_ctrl_ecc_reg #(
369 .Width ( ScrmblBlockWidth ),
370 .Depth ( 1 )
371 ) u_otp_ctrl_ecc_reg (
372 .clk_i,
373 .rst_ni,
374 .wren_i ( digest_reg_en ),
375 .addr_i ( '0 ),
376 .wdata_i ( otp_rdata_i ),
377 .rdata_o ( ),
378 .data_o ( digest_o ),
379 .ecc_err_o ( ecc_err )
380 );
381 end else begin : gen_no_ecc_reg
382 logic unused_digest_reg_en;
383 logic unused_rdata;
384 assign unused_digest_reg_en = digest_reg_en;
385 assign unused_rdata = ^otp_rdata_i[32 +: 32]; // Upper word is not connected in this case.
386 assign digest_o = '0;
387 assign ecc_err = 1'b0;
388 end
389
390 ////////////////////////
391 // DAI Access Control //
392 ////////////////////////
393
394 mubi8_t init_locked;
395 1/1 assign init_locked = (~init_done_o) ? MuBi8True : MuBi8False;
Tests: T1 T2 T3
396
397 // Aggregate all possible DAI write locks. The partition is also locked when uninitialized.
398 // Note that the locks are redundantly encoded values.
399 part_access_t access_pre;
400 prim_mubi8_sender #(
401 .AsyncOn(0)
402 ) u_prim_mubi8_sender_write_lock_pre (
403 .clk_i,
404 .rst_ni,
405 .mubi_i(mubi8_and_lo(init_locked, access_i.write_lock)),
406 .mubi_o(access_pre.write_lock)
407 );
408 prim_mubi8_sender #(
409 .AsyncOn(0)
410 ) u_prim_mubi8_sender_read_lock_pre (
411 .clk_i,
412 .rst_ni,
413 .mubi_i(mubi8_and_lo(init_locked, access_i.read_lock)),
414 .mubi_o(access_pre.read_lock)
415 );
416
417 // SEC_CM: PART.MEM.SW_UNWRITABLE
418 if (Info.write_lock) begin : gen_digest_write_lock
419 mubi8_t digest_locked;
420 1/1 assign digest_locked = (digest_o != '0) ? MuBi8True : MuBi8False;
Tests: T1 T2 T3
421
422 // This prevents the synthesis tool from optimizing the multibit signal.
423 prim_mubi8_sender #(
424 .AsyncOn(0)
425 ) u_prim_mubi8_sender_write_lock (
426 .clk_i,
427 .rst_ni,
428 .mubi_i(mubi8_and_lo(access_pre.write_lock, digest_locked)),
429 .mubi_o(access_o.write_lock)
430 );
431
432 `ASSERT(DigestWriteLocksPartition_A, digest_o |-> mubi8_test_true_loose(access_o.write_lock))
433 end else begin : gen_no_digest_write_lock
434 assign access_o.write_lock = access_pre.write_lock;
435 end
436
437 // SEC_CM: PART.MEM.SW_UNREADABLE
438 if (Info.read_lock) begin : gen_digest_read_lock
439 mubi8_t digest_locked;
440 assign digest_locked = (digest_o != '0) ? MuBi8True : MuBi8False;
441
442 // This prevents the synthesis tool from optimizing the multibit signal.
443 prim_mubi8_sender #(
444 .AsyncOn(0)
445 ) u_prim_mubi8_sender_read_lock (
446 .clk_i,
447 .rst_ni,
448 .mubi_i(mubi8_and_lo(access_pre.read_lock, digest_locked)),
449 .mubi_o(access_o.read_lock)
450 );
451
452 `ASSERT(DigestReadLocksPartition_A, digest_o |-> mubi8_test_true_loose(access_o.read_lock))
453 end else begin : gen_no_digest_read_lock
454 1/1 assign access_o.read_lock = access_pre.read_lock;
Tests: T1 T2 T3
455 end
456
457 ///////////////
458 // Registers //
459 ///////////////
460
461 3/3 `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, ResetSt)
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, ResetSt):
461.1 `ifdef SIMULATION
461.2 prim_sparse_fsm_flop #(
461.3 .StateEnumT(state_e),
461.4 .Width($bits(state_e)),
461.5 .ResetValue($bits(state_e)'(ResetSt)),
461.6 .EnableAlertTriggerSVA(1),
461.7 .CustomForceName("state_q")
461.8 ) u_state_regs (
461.9 .clk_i ( clk_i ),
461.10 .rst_ni ( rst_ni ),
461.11 .state_i ( state_d ),
461.12 .state_o ( )
461.13 );
461.14 always_ff @(posedge clk_i or negedge rst_ni) begin
461.15 1/1 if (!rst_ni) begin
Tests: T1 T2 T3
461.16 1/1 state_q <= ResetSt;
Tests: T1 T2 T3
461.17 end else begin
461.18 1/1 state_q <= state_d;
Tests: T1 T2 T3
461.19 end
461.20 end
461.21 u_state_regs_A: assert property (@(posedge clk_i) disable iff ((!rst_ni) !== '0) (state_q === u_state_regs.state_o))
461.22 else begin
461.23 `ifdef UVM
461.24 uvm_pkg::uvm_report_error("ASSERT FAILED", "u_state_regs_A", uvm_pkg::UVM_NONE,
461.25 "../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv", 461, "", 1);
461.26 `else
461.27 $error("%0t: (%0s:%0d) [%m] [ASSERT FAILED] %0s", $time, `__FILE__, `__LINE__,
461.28 `PRIM_STRINGIFY(u_state_regs_A));
461.29 `endif
461.30 end
461.31 `else
461.32 prim_sparse_fsm_flop #(
461.33 .StateEnumT(state_e),
461.34 .Width($bits(state_e)),
461.35 .ResetValue($bits(state_e)'(ResetSt)),
461.36 .EnableAlertTriggerSVA(1)
461.37 ) u_state_regs (
461.38 .clk_i ( `PRIM_FLOP_CLK ),
461.39 .rst_ni ( `PRIM_FLOP_RST ),
461.40 .state_i ( state_d ),
461.41 .state_o ( state_q )
461.42 );
461.43 `endif462
463 always_ff @(posedge clk_i or negedge rst_ni) begin : p_regs
464 1/1 if (!rst_ni) begin
Tests: T1 T2 T3
465 1/1 error_q <= NoError;
Tests: T1 T2 T3
466 1/1 tlul_addr_q <= '0;
Tests: T1 T2 T3
467 1/1 pending_tlul_error_q <= 1'b0;
Tests: T1 T2 T3
468 end else begin
469 1/1 error_q <= error_d;
Tests: T1 T2 T3
470 1/1 pending_tlul_error_q <= pending_tlul_error_d;
Tests: T1 T2 T3
471 1/1 if (tlul_gnt_o) begin
Tests: T1 T2 T3
472 1/1 tlul_addr_q <= tlul_addr_d;
Tests: T2 T3 T4
473 end
MISSING_ELSE
Cond Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
| Total | Covered | Percent |
Conditions | 33 | 31 | 93.94 |
Logical | 33 | 31 | 93.94 |
Non-Logical | 0 | 0 | |
Event | 0 | 0 | |
LINE 220
EXPRESSION (otp_err != NoError)
----------1---------
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T52,T44,T42 |
LINE 272
EXPRESSION (otp_err != NoError)
----------1---------
-1- | Status | Tests |
0 | Covered | T2,T3,T6 |
1 | Covered | T36,T116,T34 |
LINE 288
EXPRESSION (error_q == NoError)
----------1---------
-1- | Status | Tests |
0 | Covered | T2,T4,T6 |
1 | Covered | T19,T20,T21 |
LINE 316
EXPRESSION (state_q != ErrorSt)
----------1---------
-1- | Status | Tests |
0 | Not Covered | |
1 | Not Covered | |
LINE 324
EXPRESSION (state_q != ErrorSt)
----------1---------
-1- | Status | Tests |
0 | Covered | T2,T4,T6 |
1 | Covered | T2,T4,T6 |
LINE 336
EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
--------------------1-------------------
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T2,T3,T6 |
LINE 336
SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
------1------ ----------2----------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T4,T6,T5 |
1 | 1 | Covered | T2,T3,T6 |
LINE 336
SUB-EXPRESSION (tlul_rerror_o == '0)
----------1----------
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 342
EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b10001111000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
--------------------1------------------- ------------------2------------------
-1- | -2- | Status | Tests | Exclude Annotation |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Excluded | |
VC_COV_UNR |
1 | 1 | Covered | T2,T3,T4 |
LINE 349
EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
---------------1---------------
-1- | Status | Tests |
0 | Covered | T2,T3,T6 |
1 | Covered | T1,T2,T3 |
LINE 349
SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
---------------1---------------
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 358
EXPRESSION
Number Term
1 (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1- | Status | Tests |
0 | Covered | T2,T3,T6 |
1 | Covered | T1,T2,T3 |
LINE 358
SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
---------------1---------------
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 395
EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
--------1-------
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 420
EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
--------1-------
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T6,T5,T15 |
LINE 420
SUB-EXPRESSION (digest_o != '0)
--------1-------
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T6,T5,T15 |
FSM Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
| Total | Covered | Percent | |
States |
7 |
7 |
100.00 |
(Not included in score) |
Transitions |
13 |
12 |
92.31 |
|
Sequences |
0 |
0 |
|
|
State, Transition and Sequence Details for FSM :: state_q
states | Line No. | Covered | Tests |
ErrorSt |
224 |
Covered |
T2,T4,T6 |
IdleSt |
196 |
Covered |
T1,T2,T3 |
InitSt |
194 |
Covered |
T1,T2,T3 |
InitWaitSt |
207 |
Covered |
T1,T2,T3 |
ReadSt |
236 |
Covered |
T2,T3,T4 |
ReadWaitSt |
252 |
Covered |
T2,T3,T6 |
ResetSt |
190 |
Covered |
T1,T2,T3 |
transitions | Line No. | Covered | Tests | Exclude Annotation |
IdleSt->ErrorSt |
315 |
Covered |
T2,T4,T6 |
|
IdleSt->ReadSt |
236 |
Covered |
T2,T3,T4 |
|
InitSt->ErrorSt |
315 |
Covered |
T23,T128,T130 |
|
InitSt->InitWaitSt |
207 |
Covered |
T1,T2,T3 |
|
InitWaitSt->ErrorSt |
224 |
Covered |
T158,T164,T151 |
|
InitWaitSt->IdleSt |
218 |
Covered |
T1,T2,T3 |
|
ReadSt->ErrorSt |
315 |
Not Covered |
|
|
ReadSt->IdleSt |
255 |
Covered |
T4,T5,T92 |
|
ReadSt->ReadWaitSt |
252 |
Covered |
T2,T3,T6 |
|
ReadWaitSt->ErrorSt |
276 |
Covered |
T125,T153,T161 |
|
ReadWaitSt->IdleSt |
270 |
Covered |
T2,T3,T6 |
|
ResetSt->ErrorSt |
315 |
Covered |
T99,T100,T101 |
|
ResetSt->IdleSt |
196 |
Excluded |
|
VC_COV_UNR |
ResetSt->InitSt |
194 |
Covered |
T1,T2,T3 |
|
Summary for FSM :: error_q
| Total | Covered | Percent | |
States |
5 |
4 |
80.00 |
(Not included in score) |
Transitions |
11 |
8 |
72.73 |
|
Sequences |
0 |
0 |
|
|
State, Transition and Sequence Details for FSM :: error_q
states | Line No. | Covered | Tests |
AccessError |
256 |
Covered |
T4,T5,T92 |
CheckFailError |
317 |
Not Covered |
|
FsmStateError |
289 |
Covered |
T2,T4,T6 |
MacroEccCorrError |
221 |
Covered |
T36,T116,T34 |
NoError |
235 |
Covered |
T1,T2,T3 |
transitions | Line No. | Covered | Tests | Exclude Annotation |
AccessError->CheckFailError |
317 |
Excluded |
|
VC_COV_UNR |
AccessError->FsmStateError |
325 |
Covered |
T92,T25,T209 |
|
AccessError->MacroEccCorrError |
221 |
Excluded |
|
VC_COV_UNR |
AccessError->NoError |
235 |
Covered |
T4,T5,T92 |
|
CheckFailError->AccessError |
256 |
Excluded |
|
VC_COV_UNR |
CheckFailError->FsmStateError |
325 |
Excluded |
|
VC_COV_UNR |
CheckFailError->MacroEccCorrError |
221 |
Excluded |
|
VC_COV_UNR |
CheckFailError->NoError |
235 |
Not Covered |
|
|
FsmStateError->AccessError |
256 |
Excluded |
|
VC_COV_UNR |
FsmStateError->CheckFailError |
317 |
Excluded |
|
VC_COV_UNR |
FsmStateError->MacroEccCorrError |
221 |
Excluded |
|
VC_COV_UNR |
FsmStateError->NoError |
235 |
Covered |
T2,T4,T6 |
|
MacroEccCorrError->AccessError |
256 |
Excluded |
|
VC_COV_UNR |
MacroEccCorrError->CheckFailError |
317 |
Not Covered |
|
|
MacroEccCorrError->FsmStateError |
325 |
Covered |
T207,T210,T156 |
|
MacroEccCorrError->NoError |
235 |
Covered |
T36,T116,T34 |
|
NoError->AccessError |
256 |
Covered |
T4,T5,T92 |
|
NoError->CheckFailError |
317 |
Not Covered |
|
|
NoError->FsmStateError |
289 |
Covered |
T2,T4,T6 |
|
NoError->MacroEccCorrError |
221 |
Covered |
T36,T116,T34 |
|
Branch Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
| Line No. | Total | Covered | Percent |
Branches |
|
44 |
42 |
95.45 |
TERNARY |
336 |
2 |
2 |
100.00 |
TERNARY |
349 |
2 |
2 |
100.00 |
TERNARY |
358 |
2 |
2 |
100.00 |
TERNARY |
395 |
2 |
2 |
100.00 |
TERNARY |
420 |
2 |
2 |
100.00 |
CASE |
186 |
23 |
23 |
100.00 |
IF |
314 |
3 |
1 |
33.33 |
IF |
321 |
3 |
3 |
100.00 |
IF |
461 |
2 |
2 |
100.00 |
IF |
464 |
3 |
3 |
100.00 |
336 assign tlul_rdata_o = (tlul_rvalid_o && tlul_rerror_o == '0) ? otp_rdata_i[31:0] : '0;
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T2,T3,T6 |
0 |
Covered |
T1,T2,T3 |
349 assign addr_calc = (otp_addr_sel == DigestAddrSel) ? DigestOffset : {tlul_addr_q, 2'b00};
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T3,T6 |
358 assign otp_size_o = (otp_addr_sel == DigestAddrSel) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T3,T6 |
395 assign init_locked = (~init_done_o) ? MuBi8True : MuBi8False;
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
420 assign digest_locked = (digest_o != '0) ? MuBi8True : MuBi8False;
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T6,T5,T15 |
0 |
Covered |
T1,T2,T3 |
186 unique case (state_q)
-1-
187 ///////////////////////////////////////////////////////////////////
188 // State right after reset. Wait here until we get a an
189 // initialization request.
190 ResetSt: begin
191 if (init_req_i) begin
-2-
192 // If the partition does not have a digest, no initialization is necessary.
193 if (Info.sw_digest) begin
-3-
194 state_d = InitSt;
==>
195 end else begin
196 state_d = IdleSt;
==> (Unreachable)
197 end
198 end
MISSING_ELSE
==>
199 end
200 ///////////////////////////////////////////////////////////////////
201 // Initialization reads out the digest only in unbuffered
202 // partitions. Wait here until the OTP request has been granted.
203 // And then wait until the OTP word comes back.
204 InitSt: begin
205 otp_req_o = 1'b1;
206 if (otp_gnt_i) begin
-4-
207 state_d = InitWaitSt;
==>
208 end
MISSING_ELSE
==>
209 end
210 ///////////////////////////////////////////////////////////////////
211 // Wait for OTP response and write to digest buffer register. In
212 // case an OTP transaction fails, latch the OTP error code and
213 // jump to a terminal error state.
214 InitWaitSt: begin
215 if (otp_rvalid_i) begin
-5-
216 digest_reg_en = 1'b1;
217 if (otp_err inside {NoError, MacroEccCorrError}) begin
-6-
218 state_d = IdleSt;
219 // At this point the only error that we could have gotten are correctable ECC errors.
220 if (otp_err != NoError) begin
-7-
221 error_d = MacroEccCorrError;
==>
222 end
MISSING_ELSE
==>
223 end else begin
224 state_d = ErrorSt;
==>
225 error_d = otp_err;
226 end
227 end
MISSING_ELSE
==>
228 end
229 ///////////////////////////////////////////////////////////////////
230 // Wait for TL-UL requests coming in.
231 // Then latch address and go to readout state.
232 IdleSt: begin
233 init_done_o = 1'b1;
234 if (tlul_req_i) begin
-8-
235 error_d = NoError; // clear recoverable soft errors.
==>
236 state_d = ReadSt;
237 tlul_gnt_o = 1'b1;
238 end
MISSING_ELSE
==>
239 end
240 ///////////////////////////////////////////////////////////////////
241 // If the address is out of bounds, or if the partition is
242 // locked, signal back a bus error. Note that such an error does
243 // not cause the partition to go into error state. Otherwise if
244 // these checks pass, an OTP word is requested.
245 ReadSt: begin
246 init_done_o = 1'b1;
247 // Double check the address range.
248 if (tlul_addr_in_range && mubi8_test_false_strict(access_o.read_lock)) begin
-9-
249 otp_req_o = 1'b1;
250 otp_addr_sel = DataAddrSel;
251 if (otp_gnt_i) begin
-10-
252 state_d = ReadWaitSt;
==>
253 end
MISSING_ELSE
==>
254 end else begin
255 state_d = IdleSt;
==>
256 error_d = AccessError; // Signal this error, but do not go into terminal error state.
257 tlul_rvalid_o = 1'b1;
258 tlul_rerror_o = 2'b11; // This causes the TL-UL adapter to return a bus error.
259 end
260 end
261 ///////////////////////////////////////////////////////////////////
262 // Wait for OTP response and release the TL-UL response. In
263 // case an OTP transaction fails, latch the OTP error code,
264 // signal a TL-Ul bus error and jump to a terminal error state.
265 ReadWaitSt: begin
266 init_done_o = 1'b1;
267 if (otp_rvalid_i) begin
-11-
268 tlul_rvalid_o = 1'b1;
269 if (otp_err inside {NoError, MacroEccCorrError}) begin
-12-
270 state_d = IdleSt;
271 // At this point the only error that we could have gotten are correctable ECC errors.
272 if (otp_err != NoError) begin
-13-
273 error_d = MacroEccCorrError;
==>
274 end
MISSING_ELSE
==>
275 end else begin
276 state_d = ErrorSt;
==>
277 error_d = otp_err;
278 // This causes the TL-UL adapter to return a bus error.
279 tlul_rerror_o = 2'b11;
280 end
281 end
MISSING_ELSE
==>
282 end
283 ///////////////////////////////////////////////////////////////////
284 // Terminal Error State. This locks access to the partition.
285 // Make sure the partition signals an error state if no error
286 // code has been latched so far.
287 ErrorSt: begin
288 if (error_q == NoError) begin
-14-
289 error_d = FsmStateError;
==>
290 end
MISSING_ELSE
==>
291
292 // Return bus errors if there are pending TL-UL requests.
293 if (pending_tlul_error_q) begin
-15-
294 tlul_rerror_o = 2'b11;
==>
295 tlul_rvalid_o = 1'b1;
296 end else if (tlul_req_i) begin
-16-
297 tlul_gnt_o = 1'b1;
==>
298 pending_tlul_error_d = 1'b1;
299 end
MISSING_ELSE
==>
300 end
301 ///////////////////////////////////////////////////////////////////
302 // We should never get here. If we do (e.g. via a malicious
303 // glitch), error out immediately.
304 default: begin
305 state_d = ErrorSt;
==>
Branches:
-1- | -2- | -3- | -4- | -5- | -6- | -7- | -8- | -9- | -10- | -11- | -12- | -13- | -14- | -15- | -16- | Status | Tests |
ResetSt |
1 |
1 |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
ResetSt |
1 |
0 |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
Unreachable |
|
ResetSt |
0 |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
InitSt |
- |
- |
1 |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
InitSt |
- |
- |
0 |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
InitWaitSt |
- |
- |
- |
1 |
1 |
1 |
- |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T52,T44,T42 |
InitWaitSt |
- |
- |
- |
1 |
1 |
0 |
- |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
InitWaitSt |
- |
- |
- |
1 |
0 |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T158,T164,T152 |
InitWaitSt |
- |
- |
- |
0 |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
IdleSt |
- |
- |
- |
- |
- |
- |
1 |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T2,T3,T4 |
IdleSt |
- |
- |
- |
- |
- |
- |
0 |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
ReadSt |
- |
- |
- |
- |
- |
- |
- |
1 |
1 |
- |
- |
- |
- |
- |
- |
Covered |
T2,T3,T6 |
ReadSt |
- |
- |
- |
- |
- |
- |
- |
1 |
0 |
- |
- |
- |
- |
- |
- |
Covered |
T88,T169,T203 |
ReadSt |
- |
- |
- |
- |
- |
- |
- |
0 |
- |
- |
- |
- |
- |
- |
- |
Covered |
T4,T5,T92 |
ReadWaitSt |
- |
- |
- |
- |
- |
- |
- |
- |
- |
1 |
1 |
1 |
- |
- |
- |
Covered |
T36,T116,T34 |
ReadWaitSt |
- |
- |
- |
- |
- |
- |
- |
- |
- |
1 |
1 |
0 |
- |
- |
- |
Covered |
T2,T3,T6 |
ReadWaitSt |
- |
- |
- |
- |
- |
- |
- |
- |
- |
1 |
0 |
- |
- |
- |
- |
Covered |
T125,T153,T161 |
ReadWaitSt |
- |
- |
- |
- |
- |
- |
- |
- |
- |
0 |
- |
- |
- |
- |
- |
Covered |
T2,T3,T6 |
ErrorSt |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
1 |
- |
- |
Covered |
T19,T20,T21 |
ErrorSt |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
0 |
- |
- |
Covered |
T2,T4,T6 |
ErrorSt |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
1 |
- |
Covered |
T4,T6,T91 |
ErrorSt |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
0 |
1 |
Covered |
T4,T6,T91 |
ErrorSt |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
0 |
0 |
Covered |
T2,T4,T6 |
default |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T19,T20,T21 |
314 if (ecc_err) begin
-1-
315 state_d = ErrorSt;
316 if (state_q != ErrorSt) begin
-2-
317 error_d = CheckFailError;
==>
318 end
MISSING_ELSE
==>
319 end
MISSING_ELSE
==>
Branches:
-1- | -2- | Status | Tests |
1 |
1 |
Not Covered |
|
1 |
0 |
Not Covered |
|
0 |
- |
Covered |
T1,T2,T3 |
321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) begin
-1-
322 state_d = ErrorSt;
323 fsm_err_o = 1'b1;
324 if (state_q != ErrorSt) begin
-2-
325 error_d = FsmStateError;
==>
326 end
MISSING_ELSE
==>
327 end
MISSING_ELSE
==>
Branches:
-1- | -2- | Status | Tests |
1 |
1 |
Covered |
T2,T4,T6 |
1 |
0 |
Covered |
T2,T4,T6 |
0 |
- |
Covered |
T1,T2,T3 |
461 `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, ResetSt)
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
464 if (!rst_ni) begin
-1-
465 error_q <= NoError;
==>
466 tlul_addr_q <= '0;
467 pending_tlul_error_q <= 1'b0;
468 end else begin
469 error_q <= error_d;
470 pending_tlul_error_q <= pending_tlul_error_d;
471 if (tlul_gnt_o) begin
-2-
472 tlul_addr_q <= tlul_addr_d;
==>
473 end
MISSING_ELSE
==>
Branches:
-1- | -2- | Status | Tests |
1 |
- |
Covered |
T1,T2,T3 |
0 |
1 |
Covered |
T2,T3,T4 |
0 |
0 |
Covered |
T1,T2,T3 |
Assert Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
Assertion Details
AccessKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
DigestKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
DigestOffsetMustBeRepresentable_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
1109 |
1109 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T22 |
1 |
1 |
0 |
0 |
T23 |
1 |
1 |
0 |
0 |
T24 |
1 |
1 |
0 |
0 |
EccErrorState_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
0 |
0 |
0 |
ErrorKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
FsmStateKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
InitDoneKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
InitReadLocksPartition_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
16542842 |
0 |
0 |
T1 |
4805 |
126 |
0 |
0 |
T2 |
9382 |
4914 |
0 |
0 |
T3 |
25763 |
519 |
0 |
0 |
T4 |
19451 |
2737 |
0 |
0 |
T5 |
30220 |
1529 |
0 |
0 |
T6 |
34353 |
23516 |
0 |
0 |
T7 |
3400 |
93 |
0 |
0 |
T22 |
21489 |
1122 |
0 |
0 |
T23 |
10982 |
4211 |
0 |
0 |
T24 |
16454 |
277 |
0 |
0 |
InitWriteLocksPartition_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
16542842 |
0 |
0 |
T1 |
4805 |
126 |
0 |
0 |
T2 |
9382 |
4914 |
0 |
0 |
T3 |
25763 |
519 |
0 |
0 |
T4 |
19451 |
2737 |
0 |
0 |
T5 |
30220 |
1529 |
0 |
0 |
T6 |
34353 |
23516 |
0 |
0 |
T7 |
3400 |
93 |
0 |
0 |
T22 |
21489 |
1122 |
0 |
0 |
T23 |
10982 |
4211 |
0 |
0 |
T24 |
16454 |
277 |
0 |
0 |
OffsetMustBeBlockAligned_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
1109 |
1109 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T22 |
1 |
1 |
0 |
0 |
T23 |
1 |
1 |
0 |
0 |
T24 |
1 |
1 |
0 |
0 |
OtpAddrKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
OtpCmdKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
OtpErrorState_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
47 |
0 |
0 |
T9 |
65198 |
0 |
0 |
0 |
T10 |
109474 |
0 |
0 |
0 |
T88 |
76075 |
0 |
0 |
0 |
T90 |
59976 |
0 |
0 |
0 |
T93 |
24099 |
0 |
0 |
0 |
T94 |
76410 |
0 |
0 |
0 |
T115 |
67821 |
0 |
0 |
0 |
T125 |
19001 |
1 |
0 |
0 |
T126 |
30087 |
0 |
0 |
0 |
T128 |
11800 |
0 |
0 |
0 |
T152 |
0 |
1 |
0 |
0 |
T153 |
0 |
2 |
0 |
0 |
T156 |
0 |
1 |
0 |
0 |
T158 |
0 |
1 |
0 |
0 |
T161 |
0 |
1 |
0 |
0 |
T164 |
0 |
1 |
0 |
0 |
T210 |
0 |
1 |
0 |
0 |
T211 |
0 |
1 |
0 |
0 |
T212 |
0 |
1 |
0 |
0 |
OtpReqKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
OtpSizeKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
OtpWdataKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
ReadLockPropagation_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
15386286 |
0 |
0 |
T4 |
19451 |
2715 |
0 |
0 |
T5 |
30220 |
4169 |
0 |
0 |
T6 |
34353 |
1470 |
0 |
0 |
T7 |
3400 |
0 |
0 |
0 |
T14 |
17999 |
0 |
0 |
0 |
T15 |
68975 |
3891 |
0 |
0 |
T22 |
21489 |
0 |
0 |
0 |
T23 |
10982 |
0 |
0 |
0 |
T24 |
16454 |
0 |
0 |
0 |
T25 |
0 |
12466 |
0 |
0 |
T85 |
0 |
1188 |
0 |
0 |
T88 |
0 |
2260 |
0 |
0 |
T91 |
28666 |
20160 |
0 |
0 |
T92 |
0 |
22627 |
0 |
0 |
T114 |
0 |
1186 |
0 |
0 |
SizeMustBeBlockAligned_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
1109 |
1109 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T22 |
1 |
1 |
0 |
0 |
T23 |
1 |
1 |
0 |
0 |
T24 |
1 |
1 |
0 |
0 |
TlulGntKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
TlulRdataKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
TlulReadOnReadLock_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
6140 |
0 |
0 |
T4 |
19451 |
4 |
0 |
0 |
T5 |
30220 |
2 |
0 |
0 |
T6 |
34353 |
6 |
0 |
0 |
T7 |
3400 |
0 |
0 |
0 |
T9 |
0 |
2 |
0 |
0 |
T14 |
17999 |
0 |
0 |
0 |
T15 |
68975 |
0 |
0 |
0 |
T22 |
21489 |
0 |
0 |
0 |
T23 |
10982 |
0 |
0 |
0 |
T24 |
16454 |
0 |
0 |
0 |
T25 |
0 |
6 |
0 |
0 |
T88 |
0 |
4 |
0 |
0 |
T91 |
28666 |
13 |
0 |
0 |
T92 |
0 |
6 |
0 |
0 |
T121 |
0 |
5 |
0 |
0 |
T125 |
0 |
1 |
0 |
0 |
TlulRerrorKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
TlulRvalidKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
WriteLockPropagation_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
3440531 |
0 |
0 |
T8 |
4778 |
0 |
0 |
0 |
T10 |
0 |
11468 |
0 |
0 |
T15 |
68975 |
4847 |
0 |
0 |
T25 |
22495 |
0 |
0 |
0 |
T85 |
40690 |
1036 |
0 |
0 |
T88 |
0 |
6869 |
0 |
0 |
T90 |
0 |
7401 |
0 |
0 |
T91 |
28666 |
0 |
0 |
0 |
T92 |
33603 |
0 |
0 |
0 |
T114 |
23277 |
0 |
0 |
0 |
T115 |
0 |
23667 |
0 |
0 |
T117 |
0 |
54901 |
0 |
0 |
T119 |
8756 |
0 |
0 |
0 |
T120 |
30512 |
0 |
0 |
0 |
T121 |
89304 |
15227 |
0 |
0 |
T123 |
0 |
4663 |
0 |
0 |
T127 |
0 |
5777 |
0 |
0 |
gen_digest_write_lock.DigestWriteLocksPartition_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
38641415 |
0 |
0 |
T5 |
30220 |
17872 |
0 |
0 |
T6 |
34353 |
26260 |
0 |
0 |
T7 |
3400 |
0 |
0 |
0 |
T9 |
0 |
22747 |
0 |
0 |
T14 |
17999 |
0 |
0 |
0 |
T15 |
68975 |
52445 |
0 |
0 |
T22 |
21489 |
0 |
0 |
0 |
T23 |
10982 |
0 |
0 |
0 |
T24 |
16454 |
0 |
0 |
0 |
T85 |
0 |
33171 |
0 |
0 |
T88 |
0 |
52365 |
0 |
0 |
T90 |
0 |
45802 |
0 |
0 |
T91 |
28666 |
0 |
0 |
0 |
T92 |
0 |
4895 |
0 |
0 |
T114 |
23277 |
15076 |
0 |
0 |
T121 |
0 |
30441 |
0 |
0 |
u_state_regs_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
Line Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
| Line No. | Total | Covered | Percent |
TOTAL | | 88 | 88 | 100.00 |
CONT_ASSIGN | 138 | 1 | 1 | 100.00 |
CONT_ASSIGN | 149 | 1 | 1 | 100.00 |
ALWAYS | 164 | 65 | 65 | 100.00 |
CONT_ASSIGN | 334 | 1 | 1 | 100.00 |
CONT_ASSIGN | 336 | 1 | 1 | 100.00 |
CONT_ASSIGN | 342 | 1 | 1 | 100.00 |
CONT_ASSIGN | 349 | 1 | 1 | 100.00 |
CONT_ASSIGN | 350 | 1 | 1 | 100.00 |
CONT_ASSIGN | 354 | 1 | 1 | 100.00 |
CONT_ASSIGN | 358 | 1 | 1 | 100.00 |
CONT_ASSIGN | 395 | 1 | 1 | 100.00 |
CONT_ASSIGN | 420 | 1 | 1 | 100.00 |
CONT_ASSIGN | 454 | 1 | 1 | 100.00 |
ALWAYS | 461 | 3 | 3 | 100.00 |
ALWAYS | 464 | 8 | 8 | 100.00 |
137 // Output partition error state.
138 1/1 assign error_o = error_q;
Tests: T1 T2 T3
139
140 // This partition cannot do any write accesses, hence we tie this
141 // constantly off.
142 assign otp_wdata_o = '0;
143 // Depending on the partition configuration, the wrapper is instructed to ignore integrity
144 // calculations and checks. To be on the safe side, the partition filters error responses at this
145 // point and does not report any integrity errors if integrity is disabled.
146 otp_err_e otp_err;
147 if (Info.integrity) begin : gen_integrity
148 assign otp_cmd_o = prim_otp_pkg::Read;
149 1/1 assign otp_err = otp_err_e'(otp_err_i);
Tests: T1 T2 T3
150 end else begin : gen_no_integrity
151 assign otp_cmd_o = prim_otp_pkg::ReadRaw;
152 always_comb begin
153 if (otp_err_e'(otp_err_i) inside {MacroEccCorrError, MacroEccUncorrError}) begin
154 otp_err = NoError;
155 end else begin
156 otp_err = otp_err_e'(otp_err_i);
157 end
158 end
159 end
160
161 `ASSERT_KNOWN(FsmStateKnown_A, state_q)
162 always_comb begin : p_fsm
163 // Default assignments
164 1/1 state_d = state_q;
Tests: T1 T2 T3
165
166 // Response to init request
167 1/1 init_done_o = 1'b0;
Tests: T1 T2 T3
168
169 // OTP signals
170 1/1 otp_req_o = 1'b0;
Tests: T1 T2 T3
171 1/1 otp_addr_sel = DigestAddrSel;
Tests: T1 T2 T3
172
173 // TL-UL signals
174 1/1 tlul_gnt_o = 1'b0;
Tests: T1 T2 T3
175 1/1 tlul_rvalid_o = 1'b0;
Tests: T1 T2 T3
176 1/1 tlul_rerror_o = '0;
Tests: T1 T2 T3
177
178 // Enable for buffered digest register
179 1/1 digest_reg_en = 1'b0;
Tests: T1 T2 T3
180
181 // Error Register
182 1/1 error_d = error_q;
Tests: T1 T2 T3
183 1/1 pending_tlul_error_d = 1'b0;
Tests: T1 T2 T3
184 1/1 fsm_err_o = 1'b0;
Tests: T1 T2 T3
185
186 1/1 unique case (state_q)
Tests: T1 T2 T3
187 ///////////////////////////////////////////////////////////////////
188 // State right after reset. Wait here until we get a an
189 // initialization request.
190 ResetSt: begin
191 1/1 if (init_req_i) begin
Tests: T1 T2 T3
192 // If the partition does not have a digest, no initialization is necessary.
193 1/1 if (Info.sw_digest) begin
Tests: T1 T2 T3
194 1/1 state_d = InitSt;
Tests: T1 T2 T3
195 end else begin
196 unreachable state_d = IdleSt;
197 end
198 end
MISSING_ELSE
199 end
200 ///////////////////////////////////////////////////////////////////
201 // Initialization reads out the digest only in unbuffered
202 // partitions. Wait here until the OTP request has been granted.
203 // And then wait until the OTP word comes back.
204 InitSt: begin
205 1/1 otp_req_o = 1'b1;
Tests: T1 T2 T3
206 1/1 if (otp_gnt_i) begin
Tests: T1 T2 T3
207 1/1 state_d = InitWaitSt;
Tests: T1 T2 T3
208 end
MISSING_ELSE
209 end
210 ///////////////////////////////////////////////////////////////////
211 // Wait for OTP response and write to digest buffer register. In
212 // case an OTP transaction fails, latch the OTP error code and
213 // jump to a terminal error state.
214 InitWaitSt: begin
215 1/1 if (otp_rvalid_i) begin
Tests: T1 T2 T3
216 1/1 digest_reg_en = 1'b1;
Tests: T1 T2 T3
217 1/1 if (otp_err inside {NoError, MacroEccCorrError}) begin
Tests: T1 T2 T3
218 1/1 state_d = IdleSt;
Tests: T1 T2 T3
219 // At this point the only error that we could have gotten are correctable ECC errors.
220 1/1 if (otp_err != NoError) begin
Tests: T1 T2 T3
221 1/1 error_d = MacroEccCorrError;
Tests: T44 T31 T165
222 end
MISSING_ELSE
223 end else begin
224 1/1 state_d = ErrorSt;
Tests: T129 T166 T167
225 1/1 error_d = otp_err;
Tests: T129 T166 T167
226 end
227 end
MISSING_ELSE
228 end
229 ///////////////////////////////////////////////////////////////////
230 // Wait for TL-UL requests coming in.
231 // Then latch address and go to readout state.
232 IdleSt: begin
233 1/1 init_done_o = 1'b1;
Tests: T1 T2 T3
234 1/1 if (tlul_req_i) begin
Tests: T1 T2 T3
235 1/1 error_d = NoError; // clear recoverable soft errors.
Tests: T2 T3 T4
236 1/1 state_d = ReadSt;
Tests: T2 T3 T4
237 1/1 tlul_gnt_o = 1'b1;
Tests: T2 T3 T4
238 end
MISSING_ELSE
239 end
240 ///////////////////////////////////////////////////////////////////
241 // If the address is out of bounds, or if the partition is
242 // locked, signal back a bus error. Note that such an error does
243 // not cause the partition to go into error state. Otherwise if
244 // these checks pass, an OTP word is requested.
245 ReadSt: begin
246 1/1 init_done_o = 1'b1;
Tests: T2 T3 T4
247 // Double check the address range.
248 1/1 if (tlul_addr_in_range && mubi8_test_false_strict(access_o.read_lock)) begin
Tests: T2 T3 T4
249 1/1 otp_req_o = 1'b1;
Tests: T2 T3 T4
250 1/1 otp_addr_sel = DataAddrSel;
Tests: T2 T3 T4
251 1/1 if (otp_gnt_i) begin
Tests: T2 T3 T4
252 1/1 state_d = ReadWaitSt;
Tests: T2 T3 T4
253 end
MISSING_ELSE
254 end else begin
255 1/1 state_d = IdleSt;
Tests: T4 T92 T25
256 1/1 error_d = AccessError; // Signal this error, but do not go into terminal error state.
Tests: T4 T92 T25
257 1/1 tlul_rvalid_o = 1'b1;
Tests: T4 T92 T25
258 1/1 tlul_rerror_o = 2'b11; // This causes the TL-UL adapter to return a bus error.
Tests: T4 T92 T25
259 end
260 end
261 ///////////////////////////////////////////////////////////////////
262 // Wait for OTP response and release the TL-UL response. In
263 // case an OTP transaction fails, latch the OTP error code,
264 // signal a TL-Ul bus error and jump to a terminal error state.
265 ReadWaitSt: begin
266 1/1 init_done_o = 1'b1;
Tests: T2 T3 T4
267 1/1 if (otp_rvalid_i) begin
Tests: T2 T3 T4
268 1/1 tlul_rvalid_o = 1'b1;
Tests: T2 T3 T4
269 1/1 if (otp_err inside {NoError, MacroEccCorrError}) begin
Tests: T2 T3 T4
270 1/1 state_d = IdleSt;
Tests: T2 T3 T4
271 // At this point the only error that we could have gotten are correctable ECC errors.
272 1/1 if (otp_err != NoError) begin
Tests: T2 T3 T4
273 1/1 error_d = MacroEccCorrError;
Tests: T4 T121 T36
274 end
MISSING_ELSE
275 end else begin
276 1/1 state_d = ErrorSt;
Tests: T161 T157 T168
277 1/1 error_d = otp_err;
Tests: T161 T157 T168
278 // This causes the TL-UL adapter to return a bus error.
279 1/1 tlul_rerror_o = 2'b11;
Tests: T161 T157 T168
280 end
281 end
MISSING_ELSE
282 end
283 ///////////////////////////////////////////////////////////////////
284 // Terminal Error State. This locks access to the partition.
285 // Make sure the partition signals an error state if no error
286 // code has been latched so far.
287 ErrorSt: begin
288 1/1 if (error_q == NoError) begin
Tests: T2 T4 T6
289 1/1 error_d = FsmStateError;
Tests: T19 T20 T21
290 end
MISSING_ELSE
291
292 // Return bus errors if there are pending TL-UL requests.
293 1/1 if (pending_tlul_error_q) begin
Tests: T2 T4 T6
294 1/1 tlul_rerror_o = 2'b11;
Tests: T4 T6 T91
295 1/1 tlul_rvalid_o = 1'b1;
Tests: T4 T6 T91
296 1/1 end else if (tlul_req_i) begin
Tests: T2 T4 T6
297 1/1 tlul_gnt_o = 1'b1;
Tests: T4 T6 T91
298 1/1 pending_tlul_error_d = 1'b1;
Tests: T4 T6 T91
299 end
MISSING_ELSE
300 end
301 ///////////////////////////////////////////////////////////////////
302 // We should never get here. If we do (e.g. via a malicious
303 // glitch), error out immediately.
304 default: begin
305 state_d = ErrorSt;
306 fsm_err_o = 1'b1;
307 end
308 ///////////////////////////////////////////////////////////////////
309 endcase // state_q
310
311 // Unconditionally jump into the terminal error state in case of
312 // an ECC error or escalation, and lock access to the partition down.
313 // SEC_CM: PART.FSM.LOCAL_ESC
314 1/1 if (ecc_err) begin
Tests: T1 T2 T3
315 excluded state_d = ErrorSt;
Exclude Annotation: VC_COV_UNR
316 excluded if (state_q != ErrorSt) begin
Exclude Annotation: VC_COV_UNR
317 excluded error_d = CheckFailError;
Exclude Annotation: VC_COV_UNR
318 end
==> MISSING_ELSE
319 end
MISSING_ELSE
320 // SEC_CM: PART.FSM.GLOBAL_ESC
321 1/1 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) begin
Tests: T1 T2 T3
322 1/1 state_d = ErrorSt;
Tests: T2 T4 T6
323 1/1 fsm_err_o = 1'b1;
Tests: T2 T4 T6
324 1/1 if (state_q != ErrorSt) begin
Tests: T2 T4 T6
325 1/1 error_d = FsmStateError;
Tests: T2 T4 T6
326 end
MISSING_ELSE
327 end
MISSING_ELSE
328 end
329
330 ///////////////////////////////////
331 // Signals to/from TL-UL Adapter //
332 ///////////////////////////////////
333
334 1/1 assign tlul_addr_d = tlul_addr_i;
Tests: T1 T2 T3
335 // Do not forward data in case of an error.
336 1/1 assign tlul_rdata_o = (tlul_rvalid_o && tlul_rerror_o == '0) ? otp_rdata_i[31:0] : '0;
Tests: T1 T2 T3
337
338 if (Info.offset == 0) begin : gen_zero_offset
339 assign tlul_addr_in_range = {1'b0, tlul_addr_q, 2'b00} < PartEnd;
340
341 end else begin : gen_nonzero_offset
342 1/1 assign tlul_addr_in_range = {tlul_addr_q, 2'b00} >= Info.offset &&
Tests: T1 T2 T3
343 {1'b0, tlul_addr_q, 2'b00} < PartEnd;
344 end
345
346 // Note that OTP works on halfword (16bit) addresses, hence need to
347 // shift the addresses appropriately.
348 logic [OtpByteAddrWidth-1:0] addr_calc;
349 1/1 assign addr_calc = (otp_addr_sel == DigestAddrSel) ? DigestOffset : {tlul_addr_q, 2'b00};
Tests: T1 T2 T3
350 1/1 assign otp_addr_o = addr_calc[OtpByteAddrWidth-1:OtpAddrShift];
Tests: T1 T2 T3
351
352 if (OtpAddrShift > 0) begin : gen_unused
353 logic unused_bits;
354 1/1 assign unused_bits = ^addr_calc[OtpAddrShift-1:0];
Tests: T1 T2 T3
355 end
356
357 // Request 32bit except in case of the digest.
358 1/1 assign otp_size_o = (otp_addr_sel == DigestAddrSel) ?
Tests: T1 T2 T3
359 OtpSizeWidth'(unsigned'(ScrmblBlockWidth / OtpWidth - 1)) :
360 OtpSizeWidth'(unsigned'(32 / OtpWidth - 1));
361
362 ////////////////
363 // Digest Reg //
364 ////////////////
365
366 if (Info.sw_digest) begin : gen_ecc_reg
367 // SEC_CM: PART.DATA_REG.INTEGRITY
368 otp_ctrl_ecc_reg #(
369 .Width ( ScrmblBlockWidth ),
370 .Depth ( 1 )
371 ) u_otp_ctrl_ecc_reg (
372 .clk_i,
373 .rst_ni,
374 .wren_i ( digest_reg_en ),
375 .addr_i ( '0 ),
376 .wdata_i ( otp_rdata_i ),
377 .rdata_o ( ),
378 .data_o ( digest_o ),
379 .ecc_err_o ( ecc_err )
380 );
381 end else begin : gen_no_ecc_reg
382 logic unused_digest_reg_en;
383 logic unused_rdata;
384 assign unused_digest_reg_en = digest_reg_en;
385 assign unused_rdata = ^otp_rdata_i[32 +: 32]; // Upper word is not connected in this case.
386 assign digest_o = '0;
387 assign ecc_err = 1'b0;
388 end
389
390 ////////////////////////
391 // DAI Access Control //
392 ////////////////////////
393
394 mubi8_t init_locked;
395 1/1 assign init_locked = (~init_done_o) ? MuBi8True : MuBi8False;
Tests: T1 T2 T3
396
397 // Aggregate all possible DAI write locks. The partition is also locked when uninitialized.
398 // Note that the locks are redundantly encoded values.
399 part_access_t access_pre;
400 prim_mubi8_sender #(
401 .AsyncOn(0)
402 ) u_prim_mubi8_sender_write_lock_pre (
403 .clk_i,
404 .rst_ni,
405 .mubi_i(mubi8_and_lo(init_locked, access_i.write_lock)),
406 .mubi_o(access_pre.write_lock)
407 );
408 prim_mubi8_sender #(
409 .AsyncOn(0)
410 ) u_prim_mubi8_sender_read_lock_pre (
411 .clk_i,
412 .rst_ni,
413 .mubi_i(mubi8_and_lo(init_locked, access_i.read_lock)),
414 .mubi_o(access_pre.read_lock)
415 );
416
417 // SEC_CM: PART.MEM.SW_UNWRITABLE
418 if (Info.write_lock) begin : gen_digest_write_lock
419 mubi8_t digest_locked;
420 1/1 assign digest_locked = (digest_o != '0) ? MuBi8True : MuBi8False;
Tests: T1 T2 T3
421
422 // This prevents the synthesis tool from optimizing the multibit signal.
423 prim_mubi8_sender #(
424 .AsyncOn(0)
425 ) u_prim_mubi8_sender_write_lock (
426 .clk_i,
427 .rst_ni,
428 .mubi_i(mubi8_and_lo(access_pre.write_lock, digest_locked)),
429 .mubi_o(access_o.write_lock)
430 );
431
432 `ASSERT(DigestWriteLocksPartition_A, digest_o |-> mubi8_test_true_loose(access_o.write_lock))
433 end else begin : gen_no_digest_write_lock
434 assign access_o.write_lock = access_pre.write_lock;
435 end
436
437 // SEC_CM: PART.MEM.SW_UNREADABLE
438 if (Info.read_lock) begin : gen_digest_read_lock
439 mubi8_t digest_locked;
440 assign digest_locked = (digest_o != '0) ? MuBi8True : MuBi8False;
441
442 // This prevents the synthesis tool from optimizing the multibit signal.
443 prim_mubi8_sender #(
444 .AsyncOn(0)
445 ) u_prim_mubi8_sender_read_lock (
446 .clk_i,
447 .rst_ni,
448 .mubi_i(mubi8_and_lo(access_pre.read_lock, digest_locked)),
449 .mubi_o(access_o.read_lock)
450 );
451
452 `ASSERT(DigestReadLocksPartition_A, digest_o |-> mubi8_test_true_loose(access_o.read_lock))
453 end else begin : gen_no_digest_read_lock
454 1/1 assign access_o.read_lock = access_pre.read_lock;
Tests: T1 T2 T3
455 end
456
457 ///////////////
458 // Registers //
459 ///////////////
460
461 3/3 `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, ResetSt)
Tests: T1 T2 T3 | T1 T2 T3 | T1 T2 T3
PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, ResetSt):
461.1 `ifdef SIMULATION
461.2 prim_sparse_fsm_flop #(
461.3 .StateEnumT(state_e),
461.4 .Width($bits(state_e)),
461.5 .ResetValue($bits(state_e)'(ResetSt)),
461.6 .EnableAlertTriggerSVA(1),
461.7 .CustomForceName("state_q")
461.8 ) u_state_regs (
461.9 .clk_i ( clk_i ),
461.10 .rst_ni ( rst_ni ),
461.11 .state_i ( state_d ),
461.12 .state_o ( )
461.13 );
461.14 always_ff @(posedge clk_i or negedge rst_ni) begin
461.15 1/1 if (!rst_ni) begin
Tests: T1 T2 T3
461.16 1/1 state_q <= ResetSt;
Tests: T1 T2 T3
461.17 end else begin
461.18 1/1 state_q <= state_d;
Tests: T1 T2 T3
461.19 end
461.20 end
461.21 u_state_regs_A: assert property (@(posedge clk_i) disable iff ((!rst_ni) !== '0) (state_q === u_state_regs.state_o))
461.22 else begin
461.23 `ifdef UVM
461.24 uvm_pkg::uvm_report_error("ASSERT FAILED", "u_state_regs_A", uvm_pkg::UVM_NONE,
461.25 "../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv", 461, "", 1);
461.26 `else
461.27 $error("%0t: (%0s:%0d) [%m] [ASSERT FAILED] %0s", $time, `__FILE__, `__LINE__,
461.28 `PRIM_STRINGIFY(u_state_regs_A));
461.29 `endif
461.30 end
461.31 `else
461.32 prim_sparse_fsm_flop #(
461.33 .StateEnumT(state_e),
461.34 .Width($bits(state_e)),
461.35 .ResetValue($bits(state_e)'(ResetSt)),
461.36 .EnableAlertTriggerSVA(1)
461.37 ) u_state_regs (
461.38 .clk_i ( `PRIM_FLOP_CLK ),
461.39 .rst_ni ( `PRIM_FLOP_RST ),
461.40 .state_i ( state_d ),
461.41 .state_o ( state_q )
461.42 );
461.43 `endif462
463 always_ff @(posedge clk_i or negedge rst_ni) begin : p_regs
464 1/1 if (!rst_ni) begin
Tests: T1 T2 T3
465 1/1 error_q <= NoError;
Tests: T1 T2 T3
466 1/1 tlul_addr_q <= '0;
Tests: T1 T2 T3
467 1/1 pending_tlul_error_q <= 1'b0;
Tests: T1 T2 T3
468 end else begin
469 1/1 error_q <= error_d;
Tests: T1 T2 T3
470 1/1 pending_tlul_error_q <= pending_tlul_error_d;
Tests: T1 T2 T3
471 1/1 if (tlul_gnt_o) begin
Tests: T1 T2 T3
472 1/1 tlul_addr_q <= tlul_addr_d;
Tests: T2 T3 T4
473 end
MISSING_ELSE
Cond Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
| Total | Covered | Percent |
Conditions | 31 | 31 | 100.00 |
Logical | 31 | 31 | 100.00 |
Non-Logical | 0 | 0 | |
Event | 0 | 0 | |
LINE 220
EXPRESSION (otp_err != NoError)
----------1---------
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T44,T31,T165 |
LINE 272
EXPRESSION (otp_err != NoError)
----------1---------
-1- | Status | Tests |
0 | Covered | T2,T3,T22 |
1 | Covered | T4,T121,T36 |
LINE 288
EXPRESSION (error_q == NoError)
----------1---------
-1- | Status | Tests |
0 | Covered | T2,T4,T6 |
1 | Covered | T19,T20,T21 |
LINE 316
EXPRESSION (state_q != ErrorSt)
----------1---------
-1- | Status | Tests | Exclude Annotation |
0 | Excluded | |
VC_COV_UNR |
1 | Excluded | |
VC_COV_UNR |
LINE 324
EXPRESSION (state_q != ErrorSt)
----------1---------
-1- | Status | Tests |
0 | Covered | T2,T4,T6 |
1 | Covered | T2,T4,T6 |
LINE 336
EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
--------------------1-------------------
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T2,T3,T4 |
LINE 336
SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
------1------ ----------2----------
-1- | -2- | Status | Tests |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Covered | T4,T6,T91 |
1 | 1 | Covered | T2,T3,T4 |
LINE 336
SUB-EXPRESSION (tlul_rerror_o == '0)
----------1----------
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 342
EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b11001010000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
--------------------1------------------- ------------------2------------------
-1- | -2- | Status | Tests | Exclude Annotation |
0 | 1 | Covered | T1,T2,T3 |
1 | 0 | Excluded | |
VC_COV_UNR |
1 | 1 | Covered | T2,T3,T4 |
LINE 349
EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
---------------1---------------
-1- | Status | Tests |
0 | Covered | T2,T3,T4 |
1 | Covered | T1,T2,T3 |
LINE 349
SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
---------------1---------------
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 358
EXPRESSION
Number Term
1 (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1- | Status | Tests |
0 | Covered | T2,T3,T4 |
1 | Covered | T1,T2,T3 |
LINE 358
SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
---------------1---------------
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 395
EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
--------1-------
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T1,T2,T3 |
LINE 420
EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
--------1-------
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T5,T15,T88 |
LINE 420
SUB-EXPRESSION (digest_o != '0)
--------1-------
-1- | Status | Tests |
0 | Covered | T1,T2,T3 |
1 | Covered | T5,T15,T88 |
FSM Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
| Total | Covered | Percent | |
States |
7 |
7 |
100.00 |
(Not included in score) |
Transitions |
13 |
12 |
92.31 |
|
Sequences |
0 |
0 |
|
|
State, Transition and Sequence Details for FSM :: state_q
states | Line No. | Covered | Tests |
ErrorSt |
224 |
Covered |
T2,T4,T6 |
IdleSt |
196 |
Covered |
T1,T2,T3 |
InitSt |
194 |
Covered |
T1,T2,T3 |
InitWaitSt |
207 |
Covered |
T1,T2,T3 |
ReadSt |
236 |
Covered |
T2,T3,T4 |
ReadWaitSt |
252 |
Covered |
T2,T3,T4 |
ResetSt |
190 |
Covered |
T1,T2,T3 |
transitions | Line No. | Covered | Tests | Exclude Annotation |
IdleSt->ErrorSt |
315 |
Covered |
T2,T4,T6 |
|
IdleSt->ReadSt |
236 |
Covered |
T2,T3,T4 |
|
InitSt->ErrorSt |
315 |
Covered |
T23,T128,T130 |
|
InitSt->InitWaitSt |
207 |
Covered |
T1,T2,T3 |
|
InitWaitSt->ErrorSt |
224 |
Covered |
T158,T164,T152 |
|
InitWaitSt->IdleSt |
218 |
Covered |
T1,T2,T3 |
|
ReadSt->ErrorSt |
315 |
Not Covered |
|
|
ReadSt->IdleSt |
255 |
Covered |
T4,T92,T25 |
|
ReadSt->ReadWaitSt |
252 |
Covered |
T2,T3,T4 |
|
ReadWaitSt->ErrorSt |
276 |
Covered |
T161,T157,T168 |
|
ReadWaitSt->IdleSt |
270 |
Covered |
T2,T3,T4 |
|
ResetSt->ErrorSt |
315 |
Covered |
T99,T100,T101 |
|
ResetSt->IdleSt |
196 |
Excluded |
|
VC_COV_UNR |
ResetSt->InitSt |
194 |
Covered |
T1,T2,T3 |
|
Summary for FSM :: error_q
| Total | Covered | Percent | |
States |
4 |
4 |
100.00 |
(Not included in score) |
Transitions |
8 |
8 |
100.00 |
|
Sequences |
0 |
0 |
|
|
State, Transition and Sequence Details for FSM :: error_q
states | Line No. | Covered | Tests | Exclude Annotation |
AccessError |
256 |
Covered |
T4,T92,T25 |
|
CheckFailError |
317 |
Excluded |
|
VC_COV_UNR |
FsmStateError |
289 |
Covered |
T2,T4,T6 |
|
MacroEccCorrError |
221 |
Covered |
T4,T121,T36 |
|
NoError |
235 |
Covered |
T1,T2,T3 |
|
transitions | Line No. | Covered | Tests | Exclude Annotation |
AccessError->CheckFailError |
317 |
Excluded |
|
|
AccessError->FsmStateError |
325 |
Covered |
T92,T25,T213 |
|
AccessError->MacroEccCorrError |
221 |
Excluded |
|
VC_COV_UNR |
AccessError->NoError |
235 |
Covered |
T4,T92,T25 |
|
CheckFailError->AccessError |
256 |
Excluded |
|
|
CheckFailError->FsmStateError |
325 |
Excluded |
|
|
CheckFailError->MacroEccCorrError |
221 |
Excluded |
|
|
CheckFailError->NoError |
235 |
Excluded |
|
|
FsmStateError->AccessError |
256 |
Excluded |
|
VC_COV_UNR |
FsmStateError->CheckFailError |
317 |
Excluded |
|
|
FsmStateError->MacroEccCorrError |
221 |
Excluded |
|
VC_COV_UNR |
FsmStateError->NoError |
235 |
Covered |
T2,T4,T6 |
|
MacroEccCorrError->AccessError |
256 |
Excluded |
|
VC_COV_UNR |
MacroEccCorrError->CheckFailError |
317 |
Excluded |
|
|
MacroEccCorrError->FsmStateError |
325 |
Covered |
T121,T214,T161 |
|
MacroEccCorrError->NoError |
235 |
Covered |
T4,T36,T34 |
|
NoError->AccessError |
256 |
Covered |
T4,T92,T25 |
|
NoError->CheckFailError |
317 |
Excluded |
|
|
NoError->FsmStateError |
289 |
Covered |
T2,T4,T6 |
|
NoError->MacroEccCorrError |
221 |
Covered |
T4,T121,T36 |
|
Branch Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
| Line No. | Total | Covered | Percent |
Branches |
|
42 |
42 |
100.00 |
TERNARY |
336 |
2 |
2 |
100.00 |
TERNARY |
349 |
2 |
2 |
100.00 |
TERNARY |
358 |
2 |
2 |
100.00 |
TERNARY |
395 |
2 |
2 |
100.00 |
TERNARY |
420 |
2 |
2 |
100.00 |
CASE |
186 |
23 |
23 |
100.00 |
IF |
314 |
1 |
1 |
100.00 |
IF |
321 |
3 |
3 |
100.00 |
IF |
461 |
2 |
2 |
100.00 |
IF |
464 |
3 |
3 |
100.00 |
336 assign tlul_rdata_o = (tlul_rvalid_o && tlul_rerror_o == '0) ? otp_rdata_i[31:0] : '0;
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T2,T3,T4 |
0 |
Covered |
T1,T2,T3 |
349 assign addr_calc = (otp_addr_sel == DigestAddrSel) ? DigestOffset : {tlul_addr_q, 2'b00};
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T3,T4 |
358 assign otp_size_o = (otp_addr_sel == DigestAddrSel) ?
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T2,T3,T4 |
395 assign init_locked = (~init_done_o) ? MuBi8True : MuBi8False;
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
420 assign digest_locked = (digest_o != '0) ? MuBi8True : MuBi8False;
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T5,T15,T88 |
0 |
Covered |
T1,T2,T3 |
186 unique case (state_q)
-1-
187 ///////////////////////////////////////////////////////////////////
188 // State right after reset. Wait here until we get a an
189 // initialization request.
190 ResetSt: begin
191 if (init_req_i) begin
-2-
192 // If the partition does not have a digest, no initialization is necessary.
193 if (Info.sw_digest) begin
-3-
194 state_d = InitSt;
==>
195 end else begin
196 state_d = IdleSt;
==> (Unreachable)
197 end
198 end
MISSING_ELSE
==>
199 end
200 ///////////////////////////////////////////////////////////////////
201 // Initialization reads out the digest only in unbuffered
202 // partitions. Wait here until the OTP request has been granted.
203 // And then wait until the OTP word comes back.
204 InitSt: begin
205 otp_req_o = 1'b1;
206 if (otp_gnt_i) begin
-4-
207 state_d = InitWaitSt;
==>
208 end
MISSING_ELSE
==>
209 end
210 ///////////////////////////////////////////////////////////////////
211 // Wait for OTP response and write to digest buffer register. In
212 // case an OTP transaction fails, latch the OTP error code and
213 // jump to a terminal error state.
214 InitWaitSt: begin
215 if (otp_rvalid_i) begin
-5-
216 digest_reg_en = 1'b1;
217 if (otp_err inside {NoError, MacroEccCorrError}) begin
-6-
218 state_d = IdleSt;
219 // At this point the only error that we could have gotten are correctable ECC errors.
220 if (otp_err != NoError) begin
-7-
221 error_d = MacroEccCorrError;
==>
222 end
MISSING_ELSE
==>
223 end else begin
224 state_d = ErrorSt;
==>
225 error_d = otp_err;
226 end
227 end
MISSING_ELSE
==>
228 end
229 ///////////////////////////////////////////////////////////////////
230 // Wait for TL-UL requests coming in.
231 // Then latch address and go to readout state.
232 IdleSt: begin
233 init_done_o = 1'b1;
234 if (tlul_req_i) begin
-8-
235 error_d = NoError; // clear recoverable soft errors.
==>
236 state_d = ReadSt;
237 tlul_gnt_o = 1'b1;
238 end
MISSING_ELSE
==>
239 end
240 ///////////////////////////////////////////////////////////////////
241 // If the address is out of bounds, or if the partition is
242 // locked, signal back a bus error. Note that such an error does
243 // not cause the partition to go into error state. Otherwise if
244 // these checks pass, an OTP word is requested.
245 ReadSt: begin
246 init_done_o = 1'b1;
247 // Double check the address range.
248 if (tlul_addr_in_range && mubi8_test_false_strict(access_o.read_lock)) begin
-9-
249 otp_req_o = 1'b1;
250 otp_addr_sel = DataAddrSel;
251 if (otp_gnt_i) begin
-10-
252 state_d = ReadWaitSt;
==>
253 end
MISSING_ELSE
==>
254 end else begin
255 state_d = IdleSt;
==>
256 error_d = AccessError; // Signal this error, but do not go into terminal error state.
257 tlul_rvalid_o = 1'b1;
258 tlul_rerror_o = 2'b11; // This causes the TL-UL adapter to return a bus error.
259 end
260 end
261 ///////////////////////////////////////////////////////////////////
262 // Wait for OTP response and release the TL-UL response. In
263 // case an OTP transaction fails, latch the OTP error code,
264 // signal a TL-Ul bus error and jump to a terminal error state.
265 ReadWaitSt: begin
266 init_done_o = 1'b1;
267 if (otp_rvalid_i) begin
-11-
268 tlul_rvalid_o = 1'b1;
269 if (otp_err inside {NoError, MacroEccCorrError}) begin
-12-
270 state_d = IdleSt;
271 // At this point the only error that we could have gotten are correctable ECC errors.
272 if (otp_err != NoError) begin
-13-
273 error_d = MacroEccCorrError;
==>
274 end
MISSING_ELSE
==>
275 end else begin
276 state_d = ErrorSt;
==>
277 error_d = otp_err;
278 // This causes the TL-UL adapter to return a bus error.
279 tlul_rerror_o = 2'b11;
280 end
281 end
MISSING_ELSE
==>
282 end
283 ///////////////////////////////////////////////////////////////////
284 // Terminal Error State. This locks access to the partition.
285 // Make sure the partition signals an error state if no error
286 // code has been latched so far.
287 ErrorSt: begin
288 if (error_q == NoError) begin
-14-
289 error_d = FsmStateError;
==>
290 end
MISSING_ELSE
==>
291
292 // Return bus errors if there are pending TL-UL requests.
293 if (pending_tlul_error_q) begin
-15-
294 tlul_rerror_o = 2'b11;
==>
295 tlul_rvalid_o = 1'b1;
296 end else if (tlul_req_i) begin
-16-
297 tlul_gnt_o = 1'b1;
==>
298 pending_tlul_error_d = 1'b1;
299 end
MISSING_ELSE
==>
300 end
301 ///////////////////////////////////////////////////////////////////
302 // We should never get here. If we do (e.g. via a malicious
303 // glitch), error out immediately.
304 default: begin
305 state_d = ErrorSt;
==>
Branches:
-1- | -2- | -3- | -4- | -5- | -6- | -7- | -8- | -9- | -10- | -11- | -12- | -13- | -14- | -15- | -16- | Status | Tests |
ResetSt |
1 |
1 |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
ResetSt |
1 |
0 |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
Unreachable |
|
ResetSt |
0 |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
InitSt |
- |
- |
1 |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
InitSt |
- |
- |
0 |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
InitWaitSt |
- |
- |
- |
1 |
1 |
1 |
- |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T44,T31,T165 |
InitWaitSt |
- |
- |
- |
1 |
1 |
0 |
- |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
InitWaitSt |
- |
- |
- |
1 |
0 |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T129,T166,T167 |
InitWaitSt |
- |
- |
- |
0 |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
IdleSt |
- |
- |
- |
- |
- |
- |
1 |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T2,T3,T4 |
IdleSt |
- |
- |
- |
- |
- |
- |
0 |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T1,T2,T3 |
ReadSt |
- |
- |
- |
- |
- |
- |
- |
1 |
1 |
- |
- |
- |
- |
- |
- |
Covered |
T2,T3,T4 |
ReadSt |
- |
- |
- |
- |
- |
- |
- |
1 |
0 |
- |
- |
- |
- |
- |
- |
Covered |
T88,T127,T203 |
ReadSt |
- |
- |
- |
- |
- |
- |
- |
0 |
- |
- |
- |
- |
- |
- |
- |
Covered |
T4,T92,T25 |
ReadWaitSt |
- |
- |
- |
- |
- |
- |
- |
- |
- |
1 |
1 |
1 |
- |
- |
- |
Covered |
T4,T121,T36 |
ReadWaitSt |
- |
- |
- |
- |
- |
- |
- |
- |
- |
1 |
1 |
0 |
- |
- |
- |
Covered |
T2,T3,T22 |
ReadWaitSt |
- |
- |
- |
- |
- |
- |
- |
- |
- |
1 |
0 |
- |
- |
- |
- |
Covered |
T161,T157,T168 |
ReadWaitSt |
- |
- |
- |
- |
- |
- |
- |
- |
- |
0 |
- |
- |
- |
- |
- |
Covered |
T2,T3,T4 |
ErrorSt |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
1 |
- |
- |
Covered |
T19,T20,T21 |
ErrorSt |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
0 |
- |
- |
Covered |
T2,T4,T6 |
ErrorSt |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
1 |
- |
Covered |
T4,T6,T91 |
ErrorSt |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
0 |
1 |
Covered |
T4,T6,T91 |
ErrorSt |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
0 |
0 |
Covered |
T2,T4,T6 |
default |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
- |
Covered |
T19,T20,T21 |
314 if (ecc_err) begin
-1-
315 state_d = ErrorSt;
316 if (state_q != ErrorSt) begin
-2-
317 error_d = CheckFailError;
==> (Excluded)
Exclude Annotation: VC_COV_UNR
318 end
MISSING_ELSE
==> (Excluded)
Exclude Annotation: VC_COV_UNR
319 end
MISSING_ELSE
==>
Branches:
-1- | -2- | Status | Tests | Exclude Annotation |
1 |
1 |
Excluded |
|
VC_COV_UNR |
1 |
0 |
Excluded |
|
VC_COV_UNR |
0 |
- |
Covered |
T1,T2,T3 |
|
321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) begin
-1-
322 state_d = ErrorSt;
323 fsm_err_o = 1'b1;
324 if (state_q != ErrorSt) begin
-2-
325 error_d = FsmStateError;
==>
326 end
MISSING_ELSE
==>
327 end
MISSING_ELSE
==>
Branches:
-1- | -2- | Status | Tests |
1 |
1 |
Covered |
T2,T4,T6 |
1 |
0 |
Covered |
T2,T4,T6 |
0 |
- |
Covered |
T1,T2,T3 |
461 `PRIM_FLOP_SPARSE_FSM(u_state_regs, state_d, state_q, state_e, ResetSt)
-1-
==>
==>
Branches:
-1- | Status | Tests |
1 |
Covered |
T1,T2,T3 |
0 |
Covered |
T1,T2,T3 |
464 if (!rst_ni) begin
-1-
465 error_q <= NoError;
==>
466 tlul_addr_q <= '0;
467 pending_tlul_error_q <= 1'b0;
468 end else begin
469 error_q <= error_d;
470 pending_tlul_error_q <= pending_tlul_error_d;
471 if (tlul_gnt_o) begin
-2-
472 tlul_addr_q <= tlul_addr_d;
==>
473 end
MISSING_ELSE
==>
Branches:
-1- | -2- | Status | Tests |
1 |
- |
Covered |
T1,T2,T3 |
0 |
1 |
Covered |
T2,T3,T4 |
0 |
0 |
Covered |
T1,T2,T3 |
Assert Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
Assertion Details
AccessKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
DigestKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
DigestOffsetMustBeRepresentable_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
1109 |
1109 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T22 |
1 |
1 |
0 |
0 |
T23 |
1 |
1 |
0 |
0 |
T24 |
1 |
1 |
0 |
0 |
EccErrorState_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
0 |
0 |
0 |
ErrorKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
FsmStateKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
InitDoneKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
InitReadLocksPartition_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
16712101 |
0 |
0 |
T1 |
4805 |
143 |
0 |
0 |
T2 |
9382 |
4948 |
0 |
0 |
T3 |
25763 |
604 |
0 |
0 |
T4 |
19451 |
2805 |
0 |
0 |
T5 |
30220 |
1580 |
0 |
0 |
T6 |
34353 |
23567 |
0 |
0 |
T7 |
3400 |
110 |
0 |
0 |
T22 |
21489 |
1139 |
0 |
0 |
T23 |
10982 |
4228 |
0 |
0 |
T24 |
16454 |
328 |
0 |
0 |
InitWriteLocksPartition_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
16712101 |
0 |
0 |
T1 |
4805 |
143 |
0 |
0 |
T2 |
9382 |
4948 |
0 |
0 |
T3 |
25763 |
604 |
0 |
0 |
T4 |
19451 |
2805 |
0 |
0 |
T5 |
30220 |
1580 |
0 |
0 |
T6 |
34353 |
23567 |
0 |
0 |
T7 |
3400 |
110 |
0 |
0 |
T22 |
21489 |
1139 |
0 |
0 |
T23 |
10982 |
4228 |
0 |
0 |
T24 |
16454 |
328 |
0 |
0 |
OffsetMustBeBlockAligned_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
1109 |
1109 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T22 |
1 |
1 |
0 |
0 |
T23 |
1 |
1 |
0 |
0 |
T24 |
1 |
1 |
0 |
0 |
OtpAddrKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
OtpCmdKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
OtpErrorState_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
41 |
0 |
0 |
T129 |
0 |
1 |
0 |
0 |
T155 |
25405 |
0 |
0 |
0 |
T157 |
0 |
1 |
0 |
0 |
T161 |
89217 |
1 |
0 |
0 |
T162 |
0 |
1 |
0 |
0 |
T166 |
0 |
1 |
0 |
0 |
T167 |
0 |
1 |
0 |
0 |
T168 |
0 |
1 |
0 |
0 |
T186 |
10786 |
0 |
0 |
0 |
T215 |
0 |
1 |
0 |
0 |
T216 |
0 |
1 |
0 |
0 |
T217 |
0 |
1 |
0 |
0 |
T218 |
17824 |
0 |
0 |
0 |
T219 |
61851 |
0 |
0 |
0 |
T220 |
96857 |
0 |
0 |
0 |
T221 |
10609 |
0 |
0 |
0 |
T222 |
42468 |
0 |
0 |
0 |
T223 |
57926 |
0 |
0 |
0 |
T224 |
20710 |
0 |
0 |
0 |
OtpReqKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
OtpSizeKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
OtpWdataKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
ReadLockPropagation_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
15837166 |
0 |
0 |
T4 |
19451 |
2914 |
0 |
0 |
T5 |
30220 |
3444 |
0 |
0 |
T6 |
34353 |
0 |
0 |
0 |
T7 |
3400 |
0 |
0 |
0 |
T9 |
0 |
8198 |
0 |
0 |
T14 |
17999 |
0 |
0 |
0 |
T15 |
68975 |
2546 |
0 |
0 |
T22 |
21489 |
0 |
0 |
0 |
T23 |
10982 |
0 |
0 |
0 |
T24 |
16454 |
0 |
0 |
0 |
T25 |
0 |
12464 |
0 |
0 |
T85 |
0 |
2572 |
0 |
0 |
T88 |
0 |
3803 |
0 |
0 |
T90 |
0 |
3410 |
0 |
0 |
T91 |
28666 |
20194 |
0 |
0 |
T92 |
0 |
22616 |
0 |
0 |
SizeMustBeBlockAligned_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
1109 |
1109 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T22 |
1 |
1 |
0 |
0 |
T23 |
1 |
1 |
0 |
0 |
T24 |
1 |
1 |
0 |
0 |
TlulGntKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
TlulRdataKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
TlulReadOnReadLock_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
5839 |
0 |
0 |
T4 |
19451 |
4 |
0 |
0 |
T5 |
30220 |
0 |
0 |
0 |
T6 |
34353 |
6 |
0 |
0 |
T7 |
3400 |
0 |
0 |
0 |
T14 |
17999 |
0 |
0 |
0 |
T15 |
68975 |
0 |
0 |
0 |
T22 |
21489 |
0 |
0 |
0 |
T23 |
10982 |
0 |
0 |
0 |
T24 |
16454 |
0 |
0 |
0 |
T25 |
0 |
8 |
0 |
0 |
T85 |
0 |
2 |
0 |
0 |
T88 |
0 |
5 |
0 |
0 |
T90 |
0 |
1 |
0 |
0 |
T91 |
28666 |
20 |
0 |
0 |
T92 |
0 |
5 |
0 |
0 |
T121 |
0 |
5 |
0 |
0 |
T125 |
0 |
1 |
0 |
0 |
TlulRerrorKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
TlulRvalidKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |
WriteLockPropagation_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
898575 |
0 |
0 |
T5 |
30220 |
5570 |
0 |
0 |
T14 |
17999 |
0 |
0 |
0 |
T15 |
68975 |
0 |
0 |
0 |
T23 |
10982 |
0 |
0 |
0 |
T24 |
16454 |
0 |
0 |
0 |
T25 |
22495 |
0 |
0 |
0 |
T91 |
28666 |
0 |
0 |
0 |
T92 |
33603 |
0 |
0 |
0 |
T105 |
0 |
22430 |
0 |
0 |
T114 |
23277 |
0 |
0 |
0 |
T119 |
8756 |
0 |
0 |
0 |
T196 |
0 |
6587 |
0 |
0 |
T199 |
0 |
2568 |
0 |
0 |
T201 |
0 |
37656 |
0 |
0 |
T202 |
0 |
6754 |
0 |
0 |
T203 |
0 |
27363 |
0 |
0 |
T225 |
0 |
17272 |
0 |
0 |
T226 |
0 |
5495 |
0 |
0 |
T227 |
0 |
5285 |
0 |
0 |
gen_digest_write_lock.DigestWriteLocksPartition_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
13295540 |
0 |
0 |
T5 |
30220 |
17838 |
0 |
0 |
T9 |
0 |
22662 |
0 |
0 |
T14 |
17999 |
0 |
0 |
0 |
T15 |
68975 |
11233 |
0 |
0 |
T23 |
10982 |
0 |
0 |
0 |
T24 |
16454 |
0 |
0 |
0 |
T25 |
22495 |
0 |
0 |
0 |
T88 |
0 |
52195 |
0 |
0 |
T91 |
28666 |
0 |
0 |
0 |
T92 |
33603 |
0 |
0 |
0 |
T114 |
23277 |
0 |
0 |
0 |
T118 |
0 |
37190 |
0 |
0 |
T119 |
8756 |
0 |
0 |
0 |
T148 |
0 |
27664 |
0 |
0 |
T154 |
0 |
2526 |
0 |
0 |
T201 |
0 |
120663 |
0 |
0 |
T228 |
0 |
15862 |
0 |
0 |
T229 |
0 |
68295 |
0 |
0 |
u_state_regs_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
96891327 |
96062358 |
0 |
0 |
T1 |
4805 |
4742 |
0 |
0 |
T2 |
9382 |
9133 |
0 |
0 |
T3 |
25763 |
25306 |
0 |
0 |
T4 |
19451 |
19037 |
0 |
0 |
T5 |
30220 |
29859 |
0 |
0 |
T6 |
34353 |
33971 |
0 |
0 |
T7 |
3400 |
3310 |
0 |
0 |
T22 |
21489 |
21428 |
0 |
0 |
T23 |
10982 |
10704 |
0 |
0 |
T24 |
16454 |
16284 |
0 |
0 |