Assertions
dashboard | hierarchy | modlist | groups | tests | asserts

Assertions by Category
ASSERTPROPERTIESSEQUENCES
Total4300
Category 04300


Assertions by Severity
ASSERTPROPERTIESSEQUENCES
Total4300
Severity 04300


Summary for Assertions
NUMBERPERCENT
Total Number43100.00
Uncovered613.95
Success3786.05
Failure00.00
Incomplete1125.58
Without Attempts00.00


Detail Report for Assertions

Assertions Uncovered:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.PingRequest0_A 001531670079
prim_alert_tb.i_alert_sender.gen_recov_assert.AlertState2_A 0040634000
prim_alert_tb.i_alert_sender.gen_sync_assert.InBandInitFsm_A 0073278000
prim_alert_tb.i_alert_sender.gen_sync_assert.InBandInitPing_A 0073278000
prim_alert_tb.i_alert_sender.gen_sync_assert.SigIntAck_A 0073278000
prim_alert_tb.i_alert_sender.gen_sync_assert.SigIntPing_A 0073278000

Assertions Success:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.AckDiffOk_A 001524701144740158
prim_alert_tb.i_alert_receiver.AlertKnownO_A 0015316711615000
prim_alert_tb.i_alert_receiver.InBandInitRequest_A 00153167399800
prim_alert_tb.i_alert_receiver.InBandInitSequence_A 0015316741600
prim_alert_tb.i_alert_receiver.InitReq_A 0015316774500
prim_alert_tb.i_alert_receiver.IntegFailKnownO_A 0015316711615000
prim_alert_tb.i_alert_receiver.NoSpuriousAlertsDuringInit_A 001531671603000
prim_alert_tb.i_alert_receiver.NoSpuriousPingOksDuringInit_A 001531671570800
prim_alert_tb.i_alert_receiver.PingDiffOk_A 0015230311528600
prim_alert_tb.i_alert_receiver.PingOkBypassDuringInit_A 0015316741040
prim_alert_tb.i_alert_receiver.PingOkKnownO_A 0015316711615000
prim_alert_tb.i_alert_receiver.PingPKnownO_A 0015316711615000
prim_alert_tb.i_alert_receiver.PingPending_A 001531678280119
prim_alert_tb.i_alert_receiver.PingResponse0_A 0015316776400
prim_alert_tb.i_alert_receiver.gen_async_assert.Alert_A 0079889133300
prim_alert_tb.i_alert_receiver.gen_async_assert.PingResponse1_A 007988932200
prim_alert_tb.i_alert_receiver.gen_async_assert.SigInt_A 0079889247089
prim_alert_tb.i_alert_receiver.gen_sync_assert.Alert_A 0073278310700
prim_alert_tb.i_alert_receiver.gen_sync_assert.PingResponse1_A 007327835600
prim_alert_tb.i_alert_receiver.gen_sync_assert.SigInt_A 00732784000
prim_alert_tb.i_alert_sender.AlertHs_A 00153167109000
prim_alert_tb.i_alert_sender.AlertPKnownO_A 0015316711615000
prim_alert_tb.i_alert_sender.AlertState0_A 0015316711615000
prim_alert_tb.i_alert_sender.AlertTest1_A 001531677900
prim_alert_tb.i_alert_sender.AlertTestHs_A 001531677900
prim_alert_tb.i_alert_sender.gen_async_assert.DiffEncoding_A 00798895457503
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitFsm_A 00798891090152
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitPing_A 00798891090152
prim_alert_tb.i_alert_sender.gen_async_assert.PingHs_A 007988936901
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntAck_A 00798891090191
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntPing_A 00798891090191
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState1_A 00112533635200
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState2_A 001125334503600
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState3_A 00112533416800
prim_alert_tb.i_alert_sender.gen_recov_assert.AlertState1_A 0040634642500
prim_alert_tb.i_alert_sender.gen_sync_assert.DiffEncoding_A 00732785078400
prim_alert_tb.i_alert_sender.gen_sync_assert.PingHs_A 007327836900

Assertions Incomplete:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.AckDiffOk_A 001524701144740158
prim_alert_tb.i_alert_receiver.PingOkBypassDuringInit_A 0015316741040
prim_alert_tb.i_alert_receiver.PingPending_A 001531678280119
prim_alert_tb.i_alert_receiver.PingRequest0_A 001531670079
prim_alert_tb.i_alert_receiver.gen_async_assert.SigInt_A 0079889247089
prim_alert_tb.i_alert_sender.gen_async_assert.DiffEncoding_A 00798895457503
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitFsm_A 00798891090152
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitPing_A 00798891090152
prim_alert_tb.i_alert_sender.gen_async_assert.PingHs_A 007988936901
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntAck_A 00798891090191
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntPing_A 00798891090191

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%