Assertions
dashboard | hierarchy | modlist | groups | tests | asserts

Assertions by Category
ASSERTPROPERTIESSEQUENCES
Total4300
Category 04300


Assertions by Severity
ASSERTPROPERTIESSEQUENCES
Total4300
Severity 04300


Summary for Assertions
NUMBERPERCENT
Total Number43100.00
Uncovered613.95
Success3786.05
Failure00.00
Incomplete1125.58
Without Attempts00.00


Detail Report for Assertions

Assertions Uncovered:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.PingRequest0_A 001534230079
prim_alert_tb.i_alert_sender.gen_recov_assert.AlertState2_A 0041048000
prim_alert_tb.i_alert_sender.gen_sync_assert.InBandInitFsm_A 0073356000
prim_alert_tb.i_alert_sender.gen_sync_assert.InBandInitPing_A 0073356000
prim_alert_tb.i_alert_sender.gen_sync_assert.SigIntAck_A 0073356000
prim_alert_tb.i_alert_sender.gen_sync_assert.SigIntPing_A 0073356000

Assertions Success:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.AckDiffOk_A 001527281149000158
prim_alert_tb.i_alert_receiver.AlertKnownO_A 0015342311657100
prim_alert_tb.i_alert_receiver.InBandInitRequest_A 00153423400500
prim_alert_tb.i_alert_receiver.InBandInitSequence_A 0015342341200
prim_alert_tb.i_alert_receiver.InitReq_A 0015342374000
prim_alert_tb.i_alert_receiver.IntegFailKnownO_A 0015342311657100
prim_alert_tb.i_alert_receiver.NoSpuriousAlertsDuringInit_A 001534231587900
prim_alert_tb.i_alert_receiver.NoSpuriousPingOksDuringInit_A 001534231557800
prim_alert_tb.i_alert_receiver.PingDiffOk_A 0015254411569200
prim_alert_tb.i_alert_receiver.PingOkBypassDuringInit_A 0015342352040
prim_alert_tb.i_alert_receiver.PingOkKnownO_A 0015342311657100
prim_alert_tb.i_alert_receiver.PingPKnownO_A 0015342311657100
prim_alert_tb.i_alert_receiver.PingPending_A 001534238280119
prim_alert_tb.i_alert_receiver.PingResponse0_A 0015342376800
prim_alert_tb.i_alert_receiver.gen_async_assert.Alert_A 0080067135100
prim_alert_tb.i_alert_receiver.gen_async_assert.PingResponse1_A 008006732200
prim_alert_tb.i_alert_receiver.gen_async_assert.SigInt_A 0080067238089
prim_alert_tb.i_alert_receiver.gen_sync_assert.Alert_A 0073356314100
prim_alert_tb.i_alert_receiver.gen_sync_assert.PingResponse1_A 007335634500
prim_alert_tb.i_alert_receiver.gen_sync_assert.SigInt_A 00733564000
prim_alert_tb.i_alert_sender.AlertHs_A 00153423108700
prim_alert_tb.i_alert_sender.AlertPKnownO_A 0015342311657100
prim_alert_tb.i_alert_sender.AlertState0_A 0015342311657100
prim_alert_tb.i_alert_sender.AlertTest1_A 001534237900
prim_alert_tb.i_alert_sender.AlertTestHs_A 001534237900
prim_alert_tb.i_alert_sender.gen_async_assert.DiffEncoding_A 00800675481804
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitFsm_A 00800671110150
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitPing_A 00800671110150
prim_alert_tb.i_alert_sender.gen_async_assert.PingHs_A 008006736702
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntAck_A 00800671110189
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntPing_A 00800671110189
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState1_A 00112375623300
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState2_A 001123754547200
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState3_A 00112375421900
prim_alert_tb.i_alert_sender.gen_recov_assert.AlertState1_A 0041048640000
prim_alert_tb.i_alert_sender.gen_sync_assert.DiffEncoding_A 00733565108500
prim_alert_tb.i_alert_sender.gen_sync_assert.PingHs_A 007335636800

Assertions Incomplete:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.AckDiffOk_A 001527281149000158
prim_alert_tb.i_alert_receiver.PingOkBypassDuringInit_A 0015342352040
prim_alert_tb.i_alert_receiver.PingPending_A 001534238280119
prim_alert_tb.i_alert_receiver.PingRequest0_A 001534230079
prim_alert_tb.i_alert_receiver.gen_async_assert.SigInt_A 0080067238089
prim_alert_tb.i_alert_sender.gen_async_assert.DiffEncoding_A 00800675481804
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitFsm_A 00800671110150
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitPing_A 00800671110150
prim_alert_tb.i_alert_sender.gen_async_assert.PingHs_A 008006736702
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntAck_A 00800671110189
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntPing_A 00800671110189

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%