Assertions
dashboard | hierarchy | modlist | groups | tests | asserts

Assertions by Category
ASSERTPROPERTIESSEQUENCES
Total4300
Category 04300


Assertions by Severity
ASSERTPROPERTIESSEQUENCES
Total4300
Severity 04300


Summary for Assertions
NUMBERPERCENT
Total Number43100.00
Uncovered613.95
Success3786.05
Failure00.00
Incomplete1023.26
Without Attempts00.00


Detail Report for Assertions

Assertions Uncovered:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.PingRequest0_A 001561280080
prim_alert_tb.i_alert_sender.gen_recov_assert.AlertState2_A 0040978000
prim_alert_tb.i_alert_sender.gen_sync_assert.InBandInitFsm_A 0073708000
prim_alert_tb.i_alert_sender.gen_sync_assert.InBandInitPing_A 0073708000
prim_alert_tb.i_alert_sender.gen_sync_assert.SigIntAck_A 0073708000
prim_alert_tb.i_alert_sender.gen_sync_assert.SigIntPing_A 0073708000

Assertions Success:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.AckDiffOk_A 001554211174250160
prim_alert_tb.i_alert_receiver.AlertKnownO_A 0015612811912100
prim_alert_tb.i_alert_receiver.InBandInitRequest_A 00156128403900
prim_alert_tb.i_alert_receiver.InBandInitSequence_A 0015612841700
prim_alert_tb.i_alert_receiver.InitReq_A 0015612876300
prim_alert_tb.i_alert_receiver.IntegFailKnownO_A 0015612811912100
prim_alert_tb.i_alert_receiver.NoSpuriousAlertsDuringInit_A 001561281632300
prim_alert_tb.i_alert_receiver.NoSpuriousPingOksDuringInit_A 001561281601000
prim_alert_tb.i_alert_receiver.PingDiffOk_A 0015516711816000
prim_alert_tb.i_alert_receiver.PingOkBypassDuringInit_A 0015612849040
prim_alert_tb.i_alert_receiver.PingOkKnownO_A 0015612811912100
prim_alert_tb.i_alert_receiver.PingPKnownO_A 0015612811912100
prim_alert_tb.i_alert_receiver.PingPending_A 001561288400120
prim_alert_tb.i_alert_receiver.PingResponse0_A 0015612877900
prim_alert_tb.i_alert_receiver.gen_async_assert.Alert_A 0082420139300
prim_alert_tb.i_alert_receiver.gen_async_assert.PingResponse1_A 008242032700
prim_alert_tb.i_alert_receiver.gen_async_assert.SigInt_A 0082420261096
prim_alert_tb.i_alert_receiver.gen_sync_assert.Alert_A 0073708315000
prim_alert_tb.i_alert_receiver.gen_sync_assert.PingResponse1_A 007370834400
prim_alert_tb.i_alert_receiver.gen_sync_assert.SigInt_A 00737084000
prim_alert_tb.i_alert_sender.AlertHs_A 00156128111400
prim_alert_tb.i_alert_sender.AlertPKnownO_A 0015612811912100
prim_alert_tb.i_alert_sender.AlertState0_A 0015612811912100
prim_alert_tb.i_alert_sender.AlertTest1_A 001561288000
prim_alert_tb.i_alert_sender.AlertTestHs_A 001561288000
prim_alert_tb.i_alert_sender.gen_async_assert.DiffEncoding_A 00824205703000
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitFsm_A 00824201170159
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitPing_A 00824201170159
prim_alert_tb.i_alert_sender.gen_async_assert.PingHs_A 008242038201
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntAck_A 00824201170199
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntPing_A 00824201170199
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState1_A 00115150651800
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState2_A 001151504669900
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState3_A 00115150427100
prim_alert_tb.i_alert_sender.gen_recov_assert.AlertState1_A 0040978652600
prim_alert_tb.i_alert_sender.gen_sync_assert.DiffEncoding_A 00737085112400
prim_alert_tb.i_alert_sender.gen_sync_assert.PingHs_A 007370836300

Assertions Incomplete:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.AckDiffOk_A 001554211174250160
prim_alert_tb.i_alert_receiver.PingOkBypassDuringInit_A 0015612849040
prim_alert_tb.i_alert_receiver.PingPending_A 001561288400120
prim_alert_tb.i_alert_receiver.PingRequest0_A 001561280080
prim_alert_tb.i_alert_receiver.gen_async_assert.SigInt_A 0082420261096
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitFsm_A 00824201170159
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitPing_A 00824201170159
prim_alert_tb.i_alert_sender.gen_async_assert.PingHs_A 008242038201
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntAck_A 00824201170199
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntPing_A 00824201170199

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%