Assertions
dashboard | hierarchy | modlist | groups | tests | asserts

Assertions by Category
ASSERTPROPERTIESSEQUENCES
Total4300
Category 04300


Assertions by Severity
ASSERTPROPERTIESSEQUENCES
Total4300
Severity 04300


Summary for Assertions
NUMBERPERCENT
Total Number43100.00
Uncovered613.95
Success3786.05
Failure00.00
Incomplete1125.58
Without Attempts00.00


Detail Report for Assertions

Assertions Uncovered:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.PingRequest0_A 001563610080
prim_alert_tb.i_alert_sender.gen_recov_assert.AlertState2_A 0041162000
prim_alert_tb.i_alert_sender.gen_sync_assert.InBandInitFsm_A 0073972000
prim_alert_tb.i_alert_sender.gen_sync_assert.InBandInitPing_A 0073972000
prim_alert_tb.i_alert_sender.gen_sync_assert.SigIntAck_A 0073972000
prim_alert_tb.i_alert_sender.gen_sync_assert.SigIntPing_A 0073972000

Assertions Success:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.AckDiffOk_A 001556531174950160
prim_alert_tb.i_alert_receiver.AlertKnownO_A 0015636111919700
prim_alert_tb.i_alert_receiver.InBandInitRequest_A 00156361424900
prim_alert_tb.i_alert_receiver.InBandInitSequence_A 0015636143100
prim_alert_tb.i_alert_receiver.InitReq_A 0015636177200
prim_alert_tb.i_alert_receiver.IntegFailKnownO_A 0015636111919700
prim_alert_tb.i_alert_receiver.NoSpuriousAlertsDuringInit_A 001563611661100
prim_alert_tb.i_alert_receiver.NoSpuriousPingOksDuringInit_A 001563611623700
prim_alert_tb.i_alert_receiver.PingDiffOk_A 0015546111829700
prim_alert_tb.i_alert_receiver.PingOkBypassDuringInit_A 0015636137040
prim_alert_tb.i_alert_receiver.PingOkKnownO_A 0015636111919700
prim_alert_tb.i_alert_receiver.PingPKnownO_A 0015636111919700
prim_alert_tb.i_alert_receiver.PingPending_A 001563618400120
prim_alert_tb.i_alert_receiver.PingResponse0_A 0015636177800
prim_alert_tb.i_alert_receiver.gen_async_assert.Alert_A 0082389137200
prim_alert_tb.i_alert_receiver.gen_async_assert.PingResponse1_A 008238932000
prim_alert_tb.i_alert_receiver.gen_async_assert.SigInt_A 0082389245091
prim_alert_tb.i_alert_receiver.gen_sync_assert.Alert_A 0073972317700
prim_alert_tb.i_alert_receiver.gen_sync_assert.PingResponse1_A 007397236600
prim_alert_tb.i_alert_receiver.gen_sync_assert.SigInt_A 00739724000
prim_alert_tb.i_alert_sender.AlertHs_A 00156361110800
prim_alert_tb.i_alert_sender.AlertPKnownO_A 0015636111919700
prim_alert_tb.i_alert_sender.AlertState0_A 0015636111919700
prim_alert_tb.i_alert_sender.AlertTest1_A 001563618000
prim_alert_tb.i_alert_sender.AlertTestHs_A 001563618000
prim_alert_tb.i_alert_sender.gen_async_assert.DiffEncoding_A 00823895625101
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitFsm_A 00823891110158
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitPing_A 00823891110158
prim_alert_tb.i_alert_sender.gen_async_assert.PingHs_A 008238937901
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntAck_A 00823891110198
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntPing_A 00823891110198
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState1_A 00115199638500
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState2_A 001151994645800
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState3_A 00115199428800
prim_alert_tb.i_alert_sender.gen_recov_assert.AlertState1_A 0041162673400
prim_alert_tb.i_alert_sender.gen_sync_assert.DiffEncoding_A 00739725179600
prim_alert_tb.i_alert_sender.gen_sync_assert.PingHs_A 007397237900

Assertions Incomplete:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.AckDiffOk_A 001556531174950160
prim_alert_tb.i_alert_receiver.PingOkBypassDuringInit_A 0015636137040
prim_alert_tb.i_alert_receiver.PingPending_A 001563618400120
prim_alert_tb.i_alert_receiver.PingRequest0_A 001563610080
prim_alert_tb.i_alert_receiver.gen_async_assert.SigInt_A 0082389245091
prim_alert_tb.i_alert_sender.gen_async_assert.DiffEncoding_A 00823895625101
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitFsm_A 00823891110158
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitPing_A 00823891110158
prim_alert_tb.i_alert_sender.gen_async_assert.PingHs_A 008238937901
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntAck_A 00823891110198
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntPing_A 00823891110198

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%