Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : prim_alert_sender
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.52 100.00 100.00 100.00 100.00 95.83 77.27

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_prim_alert_0/rtl/prim_alert_sender.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
prim_alert_tb.i_alert_sender 95.52 100.00 100.00 100.00 100.00 95.83 77.27



Module Instance : prim_alert_tb.i_alert_sender

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.52 100.00 100.00 100.00 100.00 95.83 77.27


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.52 100.00 100.00 100.00 100.00 95.83 77.27


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
prim_alert_tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : prim_alert_sender
Line No.TotalCoveredPercent
TOTAL5353100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN16311100.00
CONT_ASSIGN16711100.00
CONT_ASSIGN17111100.00
CONT_ASSIGN17211100.00
CONT_ASSIGN17511100.00
CONT_ASSIGN17711100.00
CONT_ASSIGN17811100.00
CONT_ASSIGN18211100.00
CONT_ASSIGN18311100.00
ALWAYS1933232100.00
ALWAYS27699100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_alert_0/rtl/prim_alert_sender.sv' or '../src/lowrisc_prim_alert_0/rtl/prim_alert_sender.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
141 1 1
145 1 1
146 1 1
163 1 1
167 1 1
171 1 1
172 1 1
175 1 1
177 1 1
178 1 1
182 1 1
183 1 1
193 1 1
194 1 1
195 1 1
196 1 1
197 1 1
199 1 1
202 1 1
203 1 1
204 1 1
205 1 1
MISSING_ELSE
210 1 1
211 1 1
213 1 1
214 1 1
219 1 1
220 1 1
221 1 1
MISSING_ELSE
226 1 1
227 1 1
229 1 1
230 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
242 1 1
246 1 1
255 1 1
256 1 1
257 1 1
258 1 1
259 1 1
260 1 1
MISSING_ELSE
276 1 1
277 1 1
278 1 1
279 1 1
280 1 1
282 1 1
283 1 1
284 1 1
285 1 1


Cond Coverage for Module : prim_alert_sender
TotalCoveredPercent
Conditions2929100.00
Logical2929100.00
Non-Logical00
Event00

 LINE       141
 EXPRESSION (ack_sigint | ping_sigint)
             -----1----   -----2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       163
 EXPRESSION (alert_req | alert_set_q)
             ----1----   -----2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       167
 EXPRESSION (alert_clr ? 1'b0 : alert_req_trigger)
             ----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       171
 EXPRESSION (alert_test_i | alert_test_set_q)
             ------1-----   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       172
 EXPRESSION (alert_clr ? 1'b0 : alert_test_trigger)
             ----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       175
 EXPRESSION (alert_req_trigger | alert_test_trigger)
             --------1--------   ---------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       177
 EXPRESSION (ping_set_q | ping_event)
             -----1----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       178
 EXPRESSION (ping_clr ? 1'b0 : ping_trigger)
             ----1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       182
 EXPRESSION (alert_clr & alert_set_q)
             ----1----   -----2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       202
 EXPRESSION (alert_trigger || ping_trigger)
             ------1------    ------2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       203
 EXPRESSION (alert_trigger ? AlertHsPhase1 : PingHsPhase1)
             ------1------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

Toggle Coverage for Module : prim_alert_sender
TotalCoveredPercent
Totals 12 12 100.00
Total Bits 24 24 100.00
Total Bits 0->1 12 12 100.00
Total Bits 1->0 12 12 100.00

Ports 12 12 100.00
Port Bits 24 24 100.00
Port Bits 0->1 12 12 100.00
Port Bits 1->0 12 12 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T3,T10,T6 Yes T1,T2,T3 INPUT
alert_test_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_req_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_ack_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_state_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_i.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i.ack_p Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i.ping_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i.ping_p Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_o.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o.alert_p Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT


FSM Coverage for Module : prim_alert_sender
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 13 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
AlertHsPhase1 203 Covered T1,T2,T3
AlertHsPhase2 211 Covered T1,T2,T3
Idle 246 Covered T1,T2,T3
Pause0 220 Covered T1,T2,T3
Pause1 242 Covered T1,T2,T3
PingHsPhase1 203 Covered T1,T2,T3
PingHsPhase2 227 Covered T1,T2,T3


transitionsLine No.CoveredTests
AlertHsPhase1->AlertHsPhase2 211 Covered T1,T2,T3
AlertHsPhase1->Idle 256 Covered T1,T2,T3
AlertHsPhase2->Idle 256 Covered T1,T2,T11
AlertHsPhase2->Pause0 220 Covered T1,T2,T3
Idle->AlertHsPhase1 203 Covered T1,T2,T3
Idle->PingHsPhase1 203 Covered T1,T2,T3
Pause0->Idle 256 Covered T24,T35,T26
Pause0->Pause1 242 Covered T1,T2,T3
Pause1->Idle 246 Covered T1,T2,T3
PingHsPhase1->Idle 256 Covered T2,T11,T19
PingHsPhase1->PingHsPhase2 227 Covered T1,T2,T3
PingHsPhase2->Idle 256 Covered T3,T19,T5
PingHsPhase2->Pause0 237 Covered T1,T2,T3



Branch Coverage for Module : prim_alert_sender
Line No.TotalCoveredPercent
Branches 24 23 95.83
TERNARY 172 2 2 100.00
TERNARY 178 2 2 100.00
TERNARY 167 2 2 100.00
CASE 199 14 13 92.86
IF 255 2 2 100.00
IF 276 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_prim_alert_0/rtl/prim_alert_sender.sv' or '../src/lowrisc_prim_alert_0/rtl/prim_alert_sender.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 172 (alert_clr) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 178 (ping_clr) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 167 (alert_clr) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 199 case (state_q) -2-: 202 if ((alert_trigger || ping_trigger)) -3-: 203 (alert_trigger) ? -4-: 210 if (ack_level) -5-: 219 if ((!ack_level)) -6-: 226 if (ack_level) -7-: 235 if ((!ack_level))

Branches:
-1--2--3--4--5--6--7-StatusTests
Idle 1 1 - - - - Covered T1,T2,T3
Idle 1 0 - - - - Covered T1,T2,T3
Idle 0 - - - - - Covered T1,T2,T3
AlertHsPhase1 - - 1 - - - Covered T1,T2,T3
AlertHsPhase1 - - 0 - - - Covered T1,T2,T3
AlertHsPhase2 - - - 1 - - Covered T1,T2,T3
AlertHsPhase2 - - - 0 - - Covered T1,T2,T3
PingHsPhase1 - - - - 1 - Covered T1,T2,T3
PingHsPhase1 - - - - 0 - Covered T1,T2,T3
PingHsPhase2 - - - - - 1 Covered T1,T2,T3
PingHsPhase2 - - - - - 0 Covered T1,T2,T3
Pause0 - - - - - - Covered T1,T2,T3
Pause1 - - - - - - Covered T1,T2,T3
default - - - - - - Not Covered


LineNo. Expression -1-: 255 if (sigint_detected)

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 276 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Module : prim_alert_sender
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 17 77.27
Cover properties 0 0 0
Cover sequences 0 0 0
Total 22 22 100.00 17 77.27




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertHs_A 148755 1060 0 0
AlertPKnownO_A 148755 113240 0 0
AlertState0_A 148755 113240 0 0
AlertTest1_A 148755 77 0 0
AlertTestHs_A 148755 77 0 0
gen_async_assert.DiffEncoding_A 75650 51762 0 0
gen_async_assert.InBandInitFsm_A 75650 107 0 148
gen_async_assert.InBandInitPing_A 75650 107 0 148
gen_async_assert.PingHs_A 75650 352 0 0
gen_async_assert.SigIntAck_A 75650 107 0 185
gen_async_assert.SigIntPing_A 75650 107 0 185
gen_fatal_assert.AlertState1_A 108511 6014 0 0
gen_fatal_assert.AlertState2_A 108511 42934 0 0
gen_fatal_assert.AlertState3_A 108511 4012 0 0
gen_recov_assert.AlertState1_A 40244 6337 0 0
gen_recov_assert.AlertState2_A 40244 0 0 0
gen_sync_assert.DiffEncoding_A 73105 50832 0 0
gen_sync_assert.InBandInitFsm_A 73105 0 0 0
gen_sync_assert.InBandInitPing_A 73105 0 0 0
gen_sync_assert.PingHs_A 73105 367 0 0
gen_sync_assert.SigIntAck_A 73105 0 0 0
gen_sync_assert.SigIntPing_A 73105 0 0 0


AlertHs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 148755 1060 0 0
T1 1029 15 0 0
T2 1091 15 0 0
T3 1249 14 0 0
T5 1063 15 0 0
T6 1253 14 0 0
T7 1156 16 0 0
T10 1132 14 0 0
T11 1073 17 0 0
T12 1149 15 0 0
T19 1158 14 0 0

AlertPKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 148755 113240 0 0
T1 1029 960 0 0
T2 1091 1030 0 0
T3 1249 1067 0 0
T5 1063 1006 0 0
T6 1253 1074 0 0
T7 1156 1057 0 0
T10 1132 993 0 0
T11 1073 1019 0 0
T12 1149 1019 0 0
T19 1158 1072 0 0

AlertState0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 148755 113240 0 0
T1 1029 960 0 0
T2 1091 1030 0 0
T3 1249 1067 0 0
T5 1063 1006 0 0
T6 1253 1074 0 0
T7 1156 1057 0 0
T10 1132 993 0 0
T11 1073 1019 0 0
T12 1149 1019 0 0
T19 1158 1072 0 0

AlertTest1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 148755 77 0 0
T1 1029 1 0 0
T2 1091 1 0 0
T3 1249 1 0 0
T5 1063 1 0 0
T6 1253 1 0 0
T7 1156 1 0 0
T10 1132 1 0 0
T11 1073 1 0 0
T12 1149 1 0 0
T19 1158 1 0 0

AlertTestHs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 148755 77 0 0
T1 1029 1 0 0
T2 1091 1 0 0
T3 1249 1 0 0
T5 1063 1 0 0
T6 1253 1 0 0
T7 1156 1 0 0
T10 1132 1 0 0
T11 1073 1 0 0
T12 1149 1 0 0
T19 1158 1 0 0

gen_async_assert.DiffEncoding_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 75650 51762 0 0
T1 1029 894 0 0
T2 1091 916 0 0
T3 1249 940 0 0
T5 1063 902 0 0
T6 1253 906 0 0
T7 1156 918 0 0
T10 1132 878 0 0
T11 1073 894 0 0
T12 1149 878 0 0
T19 1158 946 0 0

gen_async_assert.InBandInitFsm_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 75650 107 0 148
T1 1029 4 0 4
T2 1091 4 0 4
T3 1249 3 0 4
T5 1063 2 0 4
T6 1253 3 0 4
T7 1156 3 0 4
T10 1132 2 0 4
T11 1073 4 0 4
T12 1149 3 0 4
T19 1158 3 0 4

gen_async_assert.InBandInitPing_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 75650 107 0 148
T1 1029 4 0 4
T2 1091 4 0 4
T3 1249 3 0 4
T5 1063 2 0 4
T6 1253 3 0 4
T7 1156 3 0 4
T10 1132 2 0 4
T11 1073 4 0 4
T12 1149 3 0 4
T19 1158 3 0 4

gen_async_assert.PingHs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 75650 352 0 0
T1 1029 10 0 0
T2 1091 10 0 0
T3 1249 9 0 0
T5 1063 10 0 0
T6 1253 8 0 0
T7 1156 10 0 0
T10 1132 8 0 0
T11 1073 9 0 0
T12 1149 8 0 0
T19 1158 9 0 0

gen_async_assert.SigIntAck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 75650 107 0 185
T1 1029 4 0 5
T2 1091 4 0 5
T3 1249 3 0 5
T5 1063 2 0 5
T6 1253 3 0 5
T7 1156 3 0 5
T10 1132 2 0 5
T11 1073 4 0 5
T12 1149 3 0 5
T19 1158 3 0 5

gen_async_assert.SigIntPing_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 75650 107 0 185
T1 1029 4 0 5
T2 1091 4 0 5
T3 1249 3 0 5
T5 1063 2 0 5
T6 1253 3 0 5
T7 1156 3 0 5
T10 1132 2 0 5
T11 1073 4 0 5
T12 1149 3 0 5
T19 1158 3 0 5

gen_fatal_assert.AlertState1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 108511 6014 0 0
T9 2855 288 0 0
T15 2812 218 0 0
T38 2979 256 0 0
T39 3021 226 0 0
T40 3130 228 0 0
T41 2761 244 0 0
T42 3121 245 0 0
T43 2955 248 0 0
T44 3060 290 0 0
T45 3120 290 0 0

gen_fatal_assert.AlertState2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 108511 42934 0 0
T9 2855 1189 0 0
T15 2812 1070 0 0
T38 2979 1189 0 0
T39 3021 1276 0 0
T40 3130 1297 0 0
T41 2761 1117 0 0
T42 3121 1368 0 0
T43 2955 1162 0 0
T44 3060 1310 0 0
T45 3120 1384 0 0

gen_fatal_assert.AlertState3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 108511 4012 0 0
T9 2855 57 0 0
T15 2812 55 0 0
T38 2979 59 0 0
T39 3021 65 0 0
T40 3130 67 0 0
T41 2761 56 0 0
T42 3121 70 0 0
T43 2955 58 0 0
T44 3060 65 0 0
T45 3120 69 0 0

gen_recov_assert.AlertState1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 40244 6337 0 0
T1 1029 227 0 0
T2 1091 260 0 0
T3 1249 220 0 0
T5 1063 232 0 0
T6 1253 249 0 0
T7 1156 272 0 0
T10 1132 219 0 0
T11 1073 266 0 0
T12 1149 237 0 0
T19 1158 219 0 0

gen_recov_assert.AlertState2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 40244 0 0 0

gen_sync_assert.DiffEncoding_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 73105 50832 0 0
T24 902 760 0 0
T25 931 760 0 0
T26 1015 750 0 0
T27 883 746 0 0
T28 937 798 0 0
T29 870 738 0 0
T34 973 796 0 0
T35 897 791 0 0
T36 920 735 0 0
T37 962 794 0 0

gen_sync_assert.InBandInitFsm_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 73105 0 0 0

gen_sync_assert.InBandInitPing_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 73105 0 0 0

gen_sync_assert.PingHs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 73105 367 0 0
T24 902 8 0 0
T25 931 8 0 0
T26 1015 9 0 0
T27 883 9 0 0
T28 937 9 0 0
T29 870 9 0 0
T34 973 9 0 0
T35 897 10 0 0
T36 920 10 0 0
T37 962 10 0 0

gen_sync_assert.SigIntAck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 73105 0 0 0

gen_sync_assert.SigIntPing_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 73105 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%