Assertions
dashboard | hierarchy | modlist | groups | tests | asserts

Assertions by Category
ASSERTPROPERTIESSEQUENCES
Total4300
Category 04300


Assertions by Severity
ASSERTPROPERTIESSEQUENCES
Total4300
Severity 04300


Summary for Assertions
NUMBERPERCENT
Total Number43100.00
Uncovered613.95
Success3786.05
Failure00.00
Incomplete1125.58
Without Attempts00.00


Detail Report for Assertions

Assertions Uncovered:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.PingRequest0_A 001535250079
prim_alert_tb.i_alert_sender.gen_recov_assert.AlertState2_A 0041908000
prim_alert_tb.i_alert_sender.gen_sync_assert.InBandInitFsm_A 0074441000
prim_alert_tb.i_alert_sender.gen_sync_assert.InBandInitPing_A 0074441000
prim_alert_tb.i_alert_sender.gen_sync_assert.SigIntAck_A 0074441000
prim_alert_tb.i_alert_sender.gen_sync_assert.SigIntPing_A 0074441000

Assertions Success:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.AckDiffOk_A 001528231145020158
prim_alert_tb.i_alert_receiver.AlertKnownO_A 0015352511619200
prim_alert_tb.i_alert_receiver.InBandInitRequest_A 00153525406100
prim_alert_tb.i_alert_receiver.InBandInitSequence_A 0015352540100
prim_alert_tb.i_alert_receiver.InitReq_A 0015352574300
prim_alert_tb.i_alert_receiver.IntegFailKnownO_A 0015352511619200
prim_alert_tb.i_alert_receiver.NoSpuriousAlertsDuringInit_A 001535251607400
prim_alert_tb.i_alert_receiver.NoSpuriousPingOksDuringInit_A 001535251569600
prim_alert_tb.i_alert_receiver.PingDiffOk_A 0015264511531200
prim_alert_tb.i_alert_receiver.PingOkBypassDuringInit_A 0015352538040
prim_alert_tb.i_alert_receiver.PingOkKnownO_A 0015352511619200
prim_alert_tb.i_alert_receiver.PingPKnownO_A 0015352511619200
prim_alert_tb.i_alert_receiver.PingPending_A 001535258280119
prim_alert_tb.i_alert_receiver.PingResponse0_A 0015352576900
prim_alert_tb.i_alert_receiver.gen_async_assert.Alert_A 0079084127400
prim_alert_tb.i_alert_receiver.gen_async_assert.PingResponse1_A 007908433100
prim_alert_tb.i_alert_receiver.gen_async_assert.SigInt_A 0079084251087
prim_alert_tb.i_alert_receiver.gen_sync_assert.Alert_A 0074441315100
prim_alert_tb.i_alert_receiver.gen_sync_assert.PingResponse1_A 007444135700
prim_alert_tb.i_alert_receiver.gen_sync_assert.SigInt_A 00744414000
prim_alert_tb.i_alert_sender.AlertHs_A 00153525109400
prim_alert_tb.i_alert_sender.AlertPKnownO_A 0015352511619200
prim_alert_tb.i_alert_sender.AlertState0_A 0015352511619200
prim_alert_tb.i_alert_sender.AlertTest1_A 001535257900
prim_alert_tb.i_alert_sender.AlertTestHs_A 001535257900
prim_alert_tb.i_alert_sender.gen_async_assert.DiffEncoding_A 00790845391704
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitFsm_A 00790841090150
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitPing_A 00790841090150
prim_alert_tb.i_alert_sender.gen_async_assert.PingHs_A 007908437002
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntAck_A 00790841090189
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntPing_A 00790841090189
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState1_A 00111617630300
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState2_A 001116174455000
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState3_A 00111617416300
prim_alert_tb.i_alert_sender.gen_recov_assert.AlertState1_A 0041908652200
prim_alert_tb.i_alert_sender.gen_sync_assert.DiffEncoding_A 00744415146500
prim_alert_tb.i_alert_sender.gen_sync_assert.PingHs_A 007444137100

Assertions Incomplete:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.AckDiffOk_A 001528231145020158
prim_alert_tb.i_alert_receiver.PingOkBypassDuringInit_A 0015352538040
prim_alert_tb.i_alert_receiver.PingPending_A 001535258280119
prim_alert_tb.i_alert_receiver.PingRequest0_A 001535250079
prim_alert_tb.i_alert_receiver.gen_async_assert.SigInt_A 0079084251087
prim_alert_tb.i_alert_sender.gen_async_assert.DiffEncoding_A 00790845391704
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitFsm_A 00790841090150
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitPing_A 00790841090150
prim_alert_tb.i_alert_sender.gen_async_assert.PingHs_A 007908437002
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntAck_A 00790841090189
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntPing_A 00790841090189

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%