Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : prim_alert_tb.i_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.18 100.00 100.00 100.00 80.00 95.83 95.24


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.18 100.00 100.00 100.00 80.00 95.83 95.24


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
prim_alert_tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : prim_alert_receiver
Line No.TotalCoveredPercent
TOTAL6060100.00
CONT_ASSIGN10511100.00
CONT_ASSIGN10611100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN11111100.00
CONT_ASSIGN11211100.00
CONT_ASSIGN14411100.00
CONT_ASSIGN14711100.00
CONT_ASSIGN14811100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
ALWAYS1594343100.00
ALWAYS25377100.00

104 // signalling is performed by a level change event on the diff output 105 1/1 assign ping_req_d = ping_req_i; Tests: T1 T2 T3  106 1/1 assign ping_rise = ping_req_d && !ping_req_q; Tests: T1 T2 T3  107 1/1 assign ping_tog_pd = (send_init) ? 1'b0 : Tests: T1 T2 T3  108 (send_ping) ? ~ping_tog_pq : ping_tog_pq; 109 110 // in-band reset is performed by sending out an integrity error on purpose. 111 1/1 assign ack_dn = (send_init) ? ack_pd : ~ack_pd; Tests: T1 T2 T3  112 1/1 assign ping_tog_dn = ~ping_tog_pd; Tests: T1 T2 T3  113 114 // This prevents further tool optimizations of the differential signal. 115 prim_sec_anchor_flop #( 116 .Width (2), 117 .ResetValue(2'b10) 118 ) u_prim_generic_flop_ack ( 119 .clk_i, 120 .rst_ni, 121 .d_i({ack_dn, 122 ack_pd}), 123 .q_o({ack_nq, 124 ack_pq}) 125 ); 126 127 prim_sec_anchor_flop #( 128 .Width (2), 129 .ResetValue(2'b10) 130 ) u_prim_generic_flop_ping ( 131 .clk_i, 132 .rst_ni, 133 .d_i({ping_tog_dn, 134 ping_tog_pd}), 135 .q_o({ping_tog_nq, 136 ping_tog_pq}) 137 ); 138 139 // the ping pending signal is used in the FSM to distinguish whether the 140 // incoming handshake shall be treated as an alert or a ping response. 141 // it is important that this is only set on a rising ping_en level change, since 142 // otherwise the ping enable signal could be abused to "mask" all native alerts 143 // as ping responses by constantly tying it to 1. 144 1/1 assign ping_pending_d = ping_rise | ((~ping_ok_o) & ping_req_i & ping_pending_q); Tests: T1 T2 T3  145 146 // diff pair outputs 147 1/1 assign alert_rx_o.ack_p = ack_pq; Tests: T1 T2 T3  148 1/1 assign alert_rx_o.ack_n = ack_nq; Tests: T1 T2 T3  149 150 1/1 assign alert_rx_o.ping_p = ping_tog_pq; Tests: T1 T2 T3  151 1/1 assign alert_rx_o.ping_n = ping_tog_nq; Tests: T1 T2 T3  152 153 // this FSM receives the four phase handshakes from the alert receiver 154 // note that the latency of the alert_p/n input diff pair is at least one 155 // cycle until it enters the receiver FSM. the same holds for the ack_* diff 156 // pair outputs. 157 always_comb begin : p_fsm 158 // default 159 1/1 state_d = state_q; Tests: T1 T2 T3  160 1/1 ack_pd = 1'b0; Tests: T1 T2 T3  161 1/1 ping_ok_o = 1'b0; Tests: T1 T2 T3  162 1/1 integ_fail_o = 1'b0; Tests: T1 T2 T3  163 1/1 alert_o = 1'b0; Tests: T1 T2 T3  164 1/1 send_init = 1'b0; Tests: T1 T2 T3  165 // by default, a ping request leads to a toogle on the differential ping pair 166 1/1 send_ping = ping_rise; Tests: T1 T2 T3  167 168 1/1 unique case (state_q) Tests: T1 T2 T3  169 Idle: begin 170 // wait for handshake to be initiated 171 1/1 if (alert_level) begin Tests: T1 T2 T3  172 1/1 state_d = HsAckWait; Tests: T1 T2 T3  173 1/1 ack_pd = 1'b1; Tests: T1 T2 T3  174 // signal either an alert or ping received on the output 175 1/1 if (ping_pending_q) begin Tests: T1 T2 T3  176 1/1 ping_ok_o = 1'b1; Tests: T1 T2 T3  177 end else begin 178 1/1 alert_o = 1'b1; Tests: T1 T2 T3  179 end 180 end MISSING_ELSE 181 end 182 // waiting for deassertion of alert to complete HS 183 HsAckWait: begin 184 1/1 if (!alert_level) begin Tests: T1 T2 T3  185 1/1 state_d = Pause0; Tests: T1 T2 T3  186 end else begin 187 1/1 ack_pd = 1'b1; Tests: T1 T2 T3  188 end 189 end 190 // pause cycles between back-to-back handshakes 191 1/1 Pause0: state_d = Pause1; Tests: T1 T2 T3  192 1/1 Pause1: state_d = Idle; Tests: T1 T2 T3  193 // this state is only reached if an in-band reset is 194 // requested via the low-power logic. 195 InitReq: begin 196 // we deliberately place a sigint error on the ack and ping lines in this case. 197 1/1 send_init = 1'b1; Tests: T1 T2 T3  198 // suppress any toggles on the ping line while we are in the init phase. 199 1/1 send_ping = 1'b0; Tests: T1 T2 T3  200 // As long as init req is asserted, we remain in this state and acknowledge all incoming 201 // ping requests. As soon as the init request is dropped however, ping requests are not 202 // acked anymore such that the ping mechanism can also flag alert channels that got stuck 203 // in the initialization sequence. 204 1/1 if (mubi4_test_true_strict(init_trig_i)) begin Tests: T1 T2 T3  205 1/1 ping_ok_o = ping_pending_q; Tests: T1 T2 T3  206 // the sender will respond to the sigint error above with a sigint error on the alert lines. 207 // hence we treat the alert_sigint like an acknowledgement in this case. 208 1/1 end else if (alert_sigint) begin Tests: T1 T2 T3  209 1/1 state_d = InitAckWait; Tests: T1 T2 T3  210 end MISSING_ELSE 211 end 212 // We get here if the sender has responded with alert_sigint, and init_trig_i==lc_ctrl_pkg::On 213 // has been deasserted. At this point, we need to wait for the alert_sigint to drop again 214 // before resuming normal operation. 215 InitAckWait: begin 216 // suppress any toggles on the ping line while we are in the init phase. 217 1/1 send_ping = 1'b0; Tests: T1 T2 T3  218 1/1 if (!alert_sigint) begin Tests: T1 T2 T3  219 1/1 state_d = Pause0; Tests: T1 T2 T3  220 // If we get a ping request in this cycle, or if we realize that there is an unhandled 221 // ping request that came in during initialization (but after init_trig_i has been 222 // deasserted), we signal this to the alert sender by toggling the request line. 223 1/1 send_ping = ping_rise || ping_pending_q; Tests: T1 T2 T3  224 end MISSING_ELSE 225 end 226 default: state_d = Idle; 227 endcase 228 229 // once the initialization sequence has been triggered, 230 // overrides are not allowed anymore until the initialization has been completed. 231 1/1 if (!(state_q inside {InitReq, InitAckWait})) begin Tests: T1 T2 T3  232 // in this case, abort and jump into the initialization sequence 233 1/1 if (mubi4_test_true_strict(init_trig_i)) begin Tests: T1 T2 T3  234 1/1 state_d = InitReq; Tests: T1 T2 T3  235 1/1 ack_pd = 1'b0; Tests: T1 T2 T3  236 1/1 ping_ok_o = 1'b0; Tests: T1 T2 T3  237 1/1 integ_fail_o = 1'b0; Tests: T1 T2 T3  238 1/1 alert_o = 1'b0; Tests: T1 T2 T3  239 1/1 send_init = 1'b1; Tests: T1 T2 T3  240 // if we're not busy with an init request, we clamp down all outputs 241 // and indicate an integrity failure. 242 1/1 end else if (alert_sigint) begin Tests: T1 T2 T3  243 1/1 state_d = Idle; Tests: T1 T2 T3  244 1/1 ack_pd = 1'b0; Tests: T1 T2 T3  245 1/1 ping_ok_o = 1'b0; Tests: T1 T2 T3  246 1/1 integ_fail_o = 1'b1; Tests: T1 T2 T3  247 1/1 alert_o = 1'b0; Tests: T1 T2 T3  248 end MISSING_ELSE 249 end MISSING_ELSE 250 end 251 252 always_ff @(posedge clk_i or negedge rst_ni) begin : p_reg 253 1/1 if (!rst_ni) begin Tests: T1 T2 T3  254 // Reset into the init request so that an alert handler reset implicitly 255 // triggers an in-band reset of all alert channels. 256 1/1 state_q <= InitReq; Tests: T1 T2 T3  257 1/1 ping_req_q <= 1'b0; Tests: T1 T2 T3  258 1/1 ping_pending_q <= 1'b0; Tests: T1 T2 T3  259 end else begin 260 1/1 state_q <= state_d; Tests: T1 T2 T3  261 1/1 ping_req_q <= ping_req_d; Tests: T1 T2 T3  262 1/1 ping_pending_q <= ping_pending_d; Tests: T1 T2 T3 

Cond Coverage for Module : prim_alert_receiver
TotalCoveredPercent
Conditions1919100.00
Logical1919100.00
Non-Logical00
Event00

 LINE       106
 EXPRESSION (ping_req_d && ((!ping_req_q)))
             -----1----    -------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       107
 EXPRESSION (send_init ? 1'b0 : (send_ping ? ((~ping_tog_pq)) : ping_tog_pq))
             ----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION (send_ping ? ((~ping_tog_pq)) : ping_tog_pq)
                 ----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       111
 EXPRESSION (send_init ? ack_pd : ((~ack_pd)))
             ----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       144
 EXPRESSION (ping_rise | (((~ping_ok_o)) & ping_req_i & ping_pending_q))
             ----1----   -----------------------2----------------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       144
 SUB-EXPRESSION (((~ping_ok_o)) & ping_req_i & ping_pending_q)
                 -------1------   -----2----   -------3------
-1--2--3-StatusTests
011CoveredT4,T5,T6
101CoveredT1,T7,T8
110CoveredT1,T2,T3
111CoveredT1,T2,T3

 LINE       223
 EXPRESSION (ping_rise || ping_pending_q)
             ----1----    -------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T7
10CoveredT9,T10

Toggle Coverage for Module : prim_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T2,T11,T12 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
ping_req_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
ping_ok_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
integ_fail_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ping_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ping_p Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT


FSM Coverage for Module : prim_alert_receiver
Summary for FSM :: state_q
TotalCoveredPercent
States 6 6 100.00 (Not included in score)
Transitions 15 12 80.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
HsAckWait 172 Covered T1,T2,T3
Idle 192 Covered T1,T2,T3
InitAckWait 209 Covered T1,T2,T3
InitReq 234 Covered T1,T2,T3
Pause0 185 Covered T1,T2,T3
Pause1 191 Covered T1,T2,T3


transitionsLine No.CoveredTests
HsAckWait->Idle 243 Covered T1,T2,T3
HsAckWait->InitReq 234 Covered T3,T13,T7
HsAckWait->Pause0 185 Covered T1,T2,T3
Idle->HsAckWait 172 Covered T1,T2,T3
Idle->InitReq 234 Covered T1,T2,T3
InitAckWait->Idle 243 Not Covered
InitAckWait->InitReq 234 Covered T14,T15,T16
InitAckWait->Pause0 219 Covered T1,T2,T3
InitReq->Idle 243 Not Covered
InitReq->InitAckWait 209 Covered T1,T2,T3
Pause0->Idle 243 Not Covered
Pause0->InitReq 234 Covered T17,T18,T19
Pause0->Pause1 191 Covered T1,T2,T3
Pause1->Idle 192 Covered T1,T2,T3
Pause1->InitReq 234 Covered T20,T17,T21



Branch Coverage for Module : prim_alert_receiver
Line No.TotalCoveredPercent
Branches 24 23 95.83
TERNARY 107 3 3 100.00
TERNARY 111 2 2 100.00
CASE 168 13 12 92.31
IF 231 4 4 100.00
IF 253 2 2 100.00


107 assign ping_tog_pd = (send_init) ? 1'b0 : -1- ==> 108 (send_ping) ? ~ping_tog_pq : ping_tog_pq; -2- ==> ==>

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


111 assign ack_dn = (send_init) ? ack_pd : ~ack_pd; -1- ==> ==>

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


168 unique case (state_q) -1- 169 Idle: begin 170 // wait for handshake to be initiated 171 if (alert_level) begin -2- 172 state_d = HsAckWait; 173 ack_pd = 1'b1; 174 // signal either an alert or ping received on the output 175 if (ping_pending_q) begin -3- 176 ping_ok_o = 1'b1; ==> 177 end else begin 178 alert_o = 1'b1; ==> 179 end 180 end MISSING_ELSE ==> 181 end 182 // waiting for deassertion of alert to complete HS 183 HsAckWait: begin 184 if (!alert_level) begin -4- 185 state_d = Pause0; ==> 186 end else begin 187 ack_pd = 1'b1; ==> 188 end 189 end 190 // pause cycles between back-to-back handshakes 191 Pause0: state_d = Pause1; ==> 192 Pause1: state_d = Idle; ==> 193 // this state is only reached if an in-band reset is 194 // requested via the low-power logic. 195 InitReq: begin 196 // we deliberately place a sigint error on the ack and ping lines in this case. 197 send_init = 1'b1; 198 // suppress any toggles on the ping line while we are in the init phase. 199 send_ping = 1'b0; 200 // As long as init req is asserted, we remain in this state and acknowledge all incoming 201 // ping requests. As soon as the init request is dropped however, ping requests are not 202 // acked anymore such that the ping mechanism can also flag alert channels that got stuck 203 // in the initialization sequence. 204 if (mubi4_test_true_strict(init_trig_i)) begin -5- 205 ping_ok_o = ping_pending_q; ==> 206 // the sender will respond to the sigint error above with a sigint error on the alert lines. 207 // hence we treat the alert_sigint like an acknowledgement in this case. 208 end else if (alert_sigint) begin -6- 209 state_d = InitAckWait; ==> 210 end MISSING_ELSE ==> 211 end 212 // We get here if the sender has responded with alert_sigint, and init_trig_i==lc_ctrl_pkg::On 213 // has been deasserted. At this point, we need to wait for the alert_sigint to drop again 214 // before resuming normal operation. 215 InitAckWait: begin 216 // suppress any toggles on the ping line while we are in the init phase. 217 send_ping = 1'b0; 218 if (!alert_sigint) begin -7- 219 state_d = Pause0; ==> 220 // If we get a ping request in this cycle, or if we realize that there is an unhandled 221 // ping request that came in during initialization (but after init_trig_i has been 222 // deasserted), we signal this to the alert sender by toggling the request line. 223 send_ping = ping_rise || ping_pending_q; 224 end MISSING_ELSE ==> 225 end 226 default: state_d = Idle; ==>

Branches:
-1--2--3--4--5--6--7-StatusTests
Idle 1 1 - - - - Covered T1,T2,T3
Idle 1 0 - - - - Covered T1,T2,T3
Idle 0 - - - - - Covered T1,T2,T3
HsAckWait - - 1 - - - Covered T1,T2,T3
HsAckWait - - 0 - - - Covered T1,T2,T3
Pause0 - - - - - - Covered T1,T2,T3
Pause1 - - - - - - Covered T1,T2,T3
InitReq - - - 1 - - Covered T1,T2,T3
InitReq - - - 0 1 - Covered T1,T2,T3
InitReq - - - 0 0 - Covered T1,T2,T3
InitAckWait - - - - - 1 Covered T1,T2,T3
InitAckWait - - - - - 0 Covered T1,T2,T3
default - - - - - - Not Covered


231 if (!(state_q inside {InitReq, InitAckWait})) begin -1- 232 // in this case, abort and jump into the initialization sequence 233 if (mubi4_test_true_strict(init_trig_i)) begin -2- 234 state_d = InitReq; ==> 235 ack_pd = 1'b0; 236 ping_ok_o = 1'b0; 237 integ_fail_o = 1'b0; 238 alert_o = 1'b0; 239 send_init = 1'b1; 240 // if we're not busy with an init request, we clamp down all outputs 241 // and indicate an integrity failure. 242 end else if (alert_sigint) begin -3- 243 state_d = Idle; ==> 244 ack_pd = 1'b0; 245 ping_ok_o = 1'b0; 246 integ_fail_o = 1'b1; 247 alert_o = 1'b0; 248 end MISSING_ELSE ==> 249 end MISSING_ELSE ==>

Branches:
-1--2--3-StatusTests
1 1 - Covered T1,T2,T3
1 0 1 Covered T1,T2,T3
1 0 0 Covered T1,T2,T3
0 - - Covered T1,T2,T3


253 if (!rst_ni) begin -1- 254 // Reset into the init request so that an alert handler reset implicitly 255 // triggers an in-band reset of all alert channels. 256 state_q <= InitReq; ==> 257 ping_req_q <= 1'b0; 258 ping_pending_q <= 1'b0; 259 end else begin 260 state_q <= state_d; ==>

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Module : prim_alert_receiver
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 21 21 100.00 20 95.24
Cover properties 0 0 0
Cover sequences 0 0 0
Total 21 21 100.00 20 95.24




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AckDiffOk_A 156238 117483 0 160
AlertKnownO_A 156946 119197 0 0
InBandInitRequest_A 156946 4343 0 0
InBandInitSequence_A 156946 440 0 0
InitReq_A 156946 782 0 0
IntegFailKnownO_A 156946 119197 0 0
NoSpuriousAlertsDuringInit_A 156946 16781 0 0
NoSpuriousPingOksDuringInit_A 156946 16417 0 0
PingDiffOk_A 155992 118243 0 0
PingOkBypassDuringInit_A 156946 51 0 40
PingOkKnownO_A 156946 119197 0 0
PingPKnownO_A 156946 119197 0 0
PingPending_A 156946 840 0 120
PingRequest0_A 156946 0 0 0
PingResponse0_A 156946 773 0 0
gen_async_assert.Alert_A 82943 1381 0 0
gen_async_assert.PingResponse1_A 82943 323 0 0
gen_async_assert.SigInt_A 82943 243 0 96
gen_sync_assert.Alert_A 74003 3171 0 0
gen_sync_assert.PingResponse1_A 74003 340 0 0
gen_sync_assert.SigInt_A 74003 40 0 0


AckDiffOk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 156238 117483 0 160
T1 1069 999 0 2
T2 1168 999 0 2
T3 1139 1042 0 2
T7 1189 1087 0 2
T8 1136 1055 0 2
T11 1221 1081 0 2
T12 1173 1012 0 2
T13 1063 1002 0 2
T20 1085 1018 0 2
T22 1222 1055 0 2

AlertKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 156946 119197 0 0
T1 1083 1015 0 0
T2 1183 1018 0 0
T3 1154 1059 0 0
T7 1202 1102 0 0
T8 1151 1072 0 0
T11 1234 1098 0 0
T12 1189 1032 0 0
T13 1078 1019 0 0
T20 1101 1036 0 0
T22 1238 1075 0 0

InBandInitRequest_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 156946 4343 0 0
T1 1083 36 0 0
T2 1183 39 0 0
T3 1154 56 0 0
T7 1202 89 0 0
T8 1151 69 0 0
T11 1234 81 0 0
T12 1189 46 0 0
T13 1078 58 0 0
T20 1101 47 0 0
T22 1238 60 0 0

InBandInitSequence_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 156946 440 0 0
T1 1083 1 0 0
T2 1183 1 0 0
T3 1154 3 0 0
T7 1202 3 0 0
T8 1151 2 0 0
T11 1234 3 0 0
T12 1189 1 0 0
T13 1078 4 0 0
T20 1101 1 0 0
T22 1238 2 0 0

InitReq_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 156946 782 0 0
T1 1083 8 0 0
T2 1183 6 0 0
T3 1154 10 0 0
T7 1202 15 0 0
T8 1151 13 0 0
T11 1234 13 0 0
T12 1189 10 0 0
T13 1078 9 0 0
T20 1101 8 0 0
T22 1238 11 0 0

IntegFailKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 156946 119197 0 0
T1 1083 1015 0 0
T2 1183 1018 0 0
T3 1154 1059 0 0
T7 1202 1102 0 0
T8 1151 1072 0 0
T11 1234 1098 0 0
T12 1189 1032 0 0
T13 1078 1019 0 0
T20 1101 1036 0 0
T22 1238 1075 0 0

NoSpuriousAlertsDuringInit_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 156946 16781 0 0
T1 1083 161 0 0
T2 1183 144 0 0
T3 1154 197 0 0
T7 1202 294 0 0
T8 1151 254 0 0
T11 1234 270 0 0
T12 1189 211 0 0
T13 1078 184 0 0
T20 1101 165 0 0
T22 1238 228 0 0

NoSpuriousPingOksDuringInit_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 156946 16417 0 0
T1 1083 146 0 0
T2 1183 132 0 0
T3 1154 197 0 0
T7 1202 280 0 0
T8 1151 249 0 0
T11 1234 254 0 0
T12 1189 202 0 0
T13 1078 180 0 0
T20 1101 156 0 0
T22 1238 227 0 0

PingDiffOk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 155992 118243 0 0
T1 1068 1000 0 0
T2 1167 1002 0 0
T3 1143 1048 0 0
T7 1183 1083 0 0
T8 1133 1054 0 0
T11 1217 1081 0 0
T12 1176 1019 0 0
T13 1061 1002 0 0
T20 1084 1019 0 0
T22 1224 1061 0 0

PingOkBypassDuringInit_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 156946 51 0 40
T1 1083 1 0 0
T2 1183 0 0 0
T3 1154 0 0 0
T7 1202 1 0 0
T8 1151 2 0 0
T9 0 0 0 1
T11 1234 0 0 0
T12 1189 0 0 0
T13 1078 0 0 0
T14 0 1 0 0
T15 0 1 0 0
T16 0 0 0 1
T20 1101 1 0 0
T22 1238 0 0 0
T23 0 3 0 0
T24 0 1 0 0
T25 0 1 0 0
T26 0 1 0 0
T27 0 0 0 1
T28 0 0 0 1
T29 0 0 0 1
T30 0 0 0 1
T31 0 0 0 1
T32 0 0 0 1
T33 0 0 0 1
T34 0 0 0 1

PingOkKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 156946 119197 0 0
T1 1083 1015 0 0
T2 1183 1018 0 0
T3 1154 1059 0 0
T7 1202 1102 0 0
T8 1151 1072 0 0
T11 1234 1098 0 0
T12 1189 1032 0 0
T13 1078 1019 0 0
T20 1101 1036 0 0
T22 1238 1075 0 0

PingPKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 156946 119197 0 0
T1 1083 1015 0 0
T2 1183 1018 0 0
T3 1154 1059 0 0
T7 1202 1102 0 0
T8 1151 1072 0 0
T11 1234 1098 0 0
T12 1189 1032 0 0
T13 1078 1019 0 0
T20 1101 1036 0 0
T22 1238 1075 0 0

PingPending_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 156946 840 0 120
T1 1083 11 0 1
T2 1183 11 0 1
T3 1154 11 0 1
T7 1202 11 0 1
T8 1151 11 0 1
T11 1234 11 0 1
T12 1189 11 0 1
T13 1078 11 0 1
T20 1101 11 0 1
T22 1238 11 0 1

PingRequest0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 156946 0 0 0

PingResponse0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 156946 773 0 0
T1 1083 9 0 0
T2 1183 10 0 0
T3 1154 10 0 0
T7 1202 9 0 0
T8 1151 9 0 0
T11 1234 10 0 0
T12 1189 9 0 0
T13 1078 10 0 0
T20 1101 10 0 0
T22 1238 9 0 0

gen_async_assert.Alert_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 82943 1381 0 0
T1 1083 9 0 0
T2 1183 10 0 0
T3 1154 4 0 0
T7 1202 5 0 0
T8 1151 6 0 0
T11 1234 3 0 0
T12 1189 7 0 0
T13 1078 7 0 0
T20 1101 9 0 0
T22 1238 6 0 0

gen_async_assert.PingResponse1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 82943 323 0 0
T1 1083 8 0 0
T2 1183 9 0 0
T3 1154 10 0 0
T7 1202 7 0 0
T8 1151 6 0 0
T11 1234 8 0 0
T12 1189 5 0 0
T13 1078 8 0 0
T20 1101 9 0 0
T22 1238 9 0 0

gen_async_assert.SigInt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 82943 243 0 96
T1 1083 6 0 2
T2 1183 5 0 2
T3 1154 7 0 1
T7 1202 7 0 3
T8 1151 8 0 3
T11 1234 6 0 3
T12 1189 7 0 2
T13 1078 6 0 3
T20 1101 6 0 2
T22 1238 6 0 2

gen_sync_assert.Alert_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 74003 3171 0 0
T9 968 12 0 0
T16 898 8 0 0
T27 1021 11 0 0
T28 898 10 0 0
T29 957 8 0 0
T30 918 9 0 0
T31 923 8 0 0
T35 840 11 0 0
T36 951 8 0 0
T37 848 11 0 0

gen_sync_assert.PingResponse1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 74003 340 0 0
T9 968 8 0 0
T16 898 8 0 0
T27 1021 8 0 0
T28 898 9 0 0
T29 957 7 0 0
T30 918 9 0 0
T31 923 8 0 0
T35 840 10 0 0
T36 951 10 0 0
T37 848 10 0 0

gen_sync_assert.SigInt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 74003 40 0 0
T9 968 1 0 0
T16 898 1 0 0
T27 1021 1 0 0
T28 898 1 0 0
T29 957 1 0 0
T30 918 1 0 0
T31 923 1 0 0
T35 840 1 0 0
T36 951 1 0 0
T37 848 1 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%