Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : prim_alert_tb.i_alert_sender

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.52 100.00 100.00 100.00 100.00 95.83 77.27


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.52 100.00 100.00 100.00 100.00 95.83 77.27


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
prim_alert_tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : prim_alert_sender
Line No.TotalCoveredPercent
TOTAL5353100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN16311100.00
CONT_ASSIGN16711100.00
CONT_ASSIGN17111100.00
CONT_ASSIGN17211100.00
CONT_ASSIGN17511100.00
CONT_ASSIGN17711100.00
CONT_ASSIGN17811100.00
CONT_ASSIGN18211100.00
CONT_ASSIGN18311100.00
ALWAYS1933232100.00
ALWAYS27699100.00

140 141 1/1 assign sigint_detected = ack_sigint | ping_sigint; Tests: T1 T2 T3  142 143 144 // diff pair output 145 1/1 assign alert_tx_o.alert_p = alert_pq; Tests: T1 T2 T3  146 1/1 assign alert_tx_o.alert_n = alert_nq; Tests: T1 T2 T3  147 148 // alert and ping set regs 149 logic alert_set_d, alert_set_q, alert_clr; 150 logic alert_test_set_d, alert_test_set_q; 151 logic ping_set_d, ping_set_q, ping_clr; 152 logic alert_req_trigger, alert_test_trigger, ping_trigger; 153 154 // if handshake is ongoing, capture additional alert requests. 155 logic alert_req; 156 prim_sec_anchor_buf #( 157 .Width(1) 158 ) u_prim_buf_in_req ( 159 .in_i(alert_req_i), 160 .out_o(alert_req) 161 ); 162 163 1/1 assign alert_req_trigger = alert_req | alert_set_q; Tests: T1 T2 T3  164 if (IsFatal) begin : gen_fatal 165 assign alert_set_d = alert_req_trigger; 166 end else begin : gen_recov 167 1/1 assign alert_set_d = (alert_clr) ? 1'b0 : alert_req_trigger; Tests: T1 T2 T3  168 end 169 170 // the alert test request is always cleared. 171 1/1 assign alert_test_trigger = alert_test_i | alert_test_set_q; Tests: T1 T2 T3  172 1/1 assign alert_test_set_d = (alert_clr) ? 1'b0 : alert_test_trigger; Tests: T1 T2 T3  173 174 logic alert_trigger; 175 1/1 assign alert_trigger = alert_req_trigger | alert_test_trigger; Tests: T1 T2 T3  176 177 1/1 assign ping_trigger = ping_set_q | ping_event; Tests: T1 T2 T3  178 1/1 assign ping_set_d = (ping_clr) ? 1'b0 : ping_trigger; Tests: T1 T2 T3  179 180 181 // alert event acknowledge and state (not affected by alert_test_i) 182 1/1 assign alert_ack_o = alert_clr & alert_set_q; Tests: T1 T2 T3  183 1/1 assign alert_state_o = alert_set_q; Tests: T1 T2 T3  184 185 // this FSM performs a full four phase handshake upon a ping or alert trigger. 186 // note that the latency of the alert_p/n diff pair is at least one cycle 187 // until it enters the receiver FSM. the same holds for the ack_* diff pair 188 // input. in case a signal integrity issue is detected, the FSM bails out, 189 // sets the alert_p/n diff pair to the same value and toggles it in order to 190 // signal that condition over to the receiver. 191 always_comb begin : p_fsm 192 // default 193 1/1 state_d = state_q; Tests: T1 T2 T3  194 1/1 alert_pd = 1'b0; Tests: T1 T2 T3  195 1/1 alert_nd = 1'b1; Tests: T1 T2 T3  196 1/1 ping_clr = 1'b0; Tests: T1 T2 T3  197 1/1 alert_clr = 1'b0; Tests: T1 T2 T3  198 199 1/1 unique case (state_q) Tests: T1 T2 T3  200 Idle: begin 201 // alert always takes precedence 202 1/1 if (alert_trigger || ping_trigger) begin Tests: T1 T2 T3  203 1/1 state_d = (alert_trigger) ? AlertHsPhase1 : PingHsPhase1; Tests: T1 T2 T3  204 1/1 alert_pd = 1'b1; Tests: T1 T2 T3  205 1/1 alert_nd = 1'b0; Tests: T1 T2 T3  206 end MISSING_ELSE 207 end 208 // waiting for ack from receiver 209 AlertHsPhase1: begin 210 1/1 if (ack_level) begin Tests: T1 T2 T3  211 1/1 state_d = AlertHsPhase2; Tests: T1 T2 T3  212 end else begin 213 1/1 alert_pd = 1'b1; Tests: T1 T2 T3  214 1/1 alert_nd = 1'b0; Tests: T1 T2 T3  215 end 216 end 217 // wait for deassertion of ack 218 AlertHsPhase2: begin 219 1/1 if (!ack_level) begin Tests: T1 T2 T3  220 1/1 state_d = Pause0; Tests: T1 T2 T3  221 1/1 alert_clr = 1'b1; Tests: T1 T2 T3  222 end MISSING_ELSE 223 end 224 // waiting for ack from receiver 225 PingHsPhase1: begin 226 1/1 if (ack_level) begin Tests: T1 T2 T3  227 1/1 state_d = PingHsPhase2; Tests: T1 T2 T3  228 end else begin 229 1/1 alert_pd = 1'b1; Tests: T1 T2 T3  230 1/1 alert_nd = 1'b0; Tests: T1 T2 T3  231 end 232 end 233 // wait for deassertion of ack 234 PingHsPhase2: begin 235 1/1 if (!ack_level) begin Tests: T1 T2 T3  236 1/1 ping_clr = 1'b1; Tests: T1 T2 T3  237 1/1 state_d = Pause0; Tests: T1 T2 T3  238 end MISSING_ELSE 239 end 240 // pause cycles between back-to-back handshakes 241 Pause0: begin 242 1/1 state_d = Pause1; Tests: T1 T2 T3  243 end 244 // clear and ack alert request if it was set 245 Pause1: begin 246 1/1 state_d = Idle; Tests: T1 T2 T3  247 end 248 // catch parasitic states 249 default : state_d = Idle; 250 endcase 251 252 // we have a signal integrity issue at one of the incoming diff pairs. this condition is 253 // signalled by setting the output diffpair to zero. If the sigint has disappeared, we clear 254 // the ping request state of this sender and go back to idle. 255 1/1 if (sigint_detected) begin Tests: T1 T2 T3  256 1/1 state_d = Idle; Tests: T1 T2 T3  257 1/1 alert_pd = 1'b0; Tests: T1 T2 T3  258 1/1 alert_nd = 1'b0; Tests: T1 T2 T3  259 1/1 ping_clr = 1'b1; Tests: T1 T2 T3  260 1/1 alert_clr = 1'b0; Tests: T1 T2 T3  261 end MISSING_ELSE 262 end 263 264 // This prevents further tool optimizations of the differential signal. 265 prim_sec_anchor_flop #( 266 .Width (2), 267 .ResetValue(2'b10) 268 ) u_prim_flop_alert ( 269 .clk_i, 270 .rst_ni, 271 .d_i({alert_nd, alert_pd}), 272 .q_o({alert_nq, alert_pq}) 273 ); 274 275 always_ff @(posedge clk_i or negedge rst_ni) begin : p_reg 276 1/1 if (!rst_ni) begin Tests: T1 T2 T3  277 1/1 state_q <= Idle; Tests: T1 T2 T3  278 1/1 alert_set_q <= 1'b0; Tests: T1 T2 T3  279 1/1 alert_test_set_q <= 1'b0; Tests: T1 T2 T3  280 1/1 ping_set_q <= 1'b0; Tests: T1 T2 T3  281 end else begin 282 1/1 state_q <= state_d; Tests: T1 T2 T3  283 1/1 alert_set_q <= alert_set_d; Tests: T1 T2 T3  284 1/1 alert_test_set_q <= alert_test_set_d; Tests: T1 T2 T3  285 1/1 ping_set_q <= ping_set_d; Tests: T1 T2 T3 

Cond Coverage for Module : prim_alert_sender
TotalCoveredPercent
Conditions2929100.00
Logical2929100.00
Non-Logical00
Event00

 LINE       141
 EXPRESSION (ack_sigint | ping_sigint)
             -----1----   -----2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       163
 EXPRESSION (alert_req | alert_set_q)
             ----1----   -----2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       167
 EXPRESSION (alert_clr ? 1'b0 : alert_req_trigger)
             ----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       171
 EXPRESSION (alert_test_i | alert_test_set_q)
             ------1-----   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       172
 EXPRESSION (alert_clr ? 1'b0 : alert_test_trigger)
             ----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       175
 EXPRESSION (alert_req_trigger | alert_test_trigger)
             --------1--------   ---------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       177
 EXPRESSION (ping_set_q | ping_event)
             -----1----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       178
 EXPRESSION (ping_clr ? 1'b0 : ping_trigger)
             ----1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       182
 EXPRESSION (alert_clr & alert_set_q)
             ----1----   -----2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       202
 EXPRESSION (alert_trigger || ping_trigger)
             ------1------    ------2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       203
 EXPRESSION (alert_trigger ? AlertHsPhase1 : PingHsPhase1)
             ------1------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

Toggle Coverage for Module : prim_alert_sender
TotalCoveredPercent
Totals 12 12 100.00
Total Bits 24 24 100.00
Total Bits 0->1 12 12 100.00
Total Bits 1->0 12 12 100.00

Ports 12 12 100.00
Port Bits 24 24 100.00
Port Bits 0->1 12 12 100.00
Port Bits 1->0 12 12 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T3,T8,T9 Yes T1,T2,T3 INPUT
alert_test_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_req_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_ack_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_state_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_i.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i.ack_p Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i.ping_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i.ping_p Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_o.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o.alert_p Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT


FSM Coverage for Module : prim_alert_sender
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 13 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
AlertHsPhase1 203 Covered T1,T2,T3
AlertHsPhase2 211 Covered T1,T2,T3
Idle 246 Covered T1,T2,T3
Pause0 220 Covered T1,T2,T3
Pause1 242 Covered T1,T2,T3
PingHsPhase1 203 Covered T1,T2,T3
PingHsPhase2 227 Covered T1,T2,T3


transitionsLine No.CoveredTests
AlertHsPhase1->AlertHsPhase2 211 Covered T1,T2,T3
AlertHsPhase1->Idle 256 Covered T1,T2,T3
AlertHsPhase2->Idle 256 Covered T2,T3,T17
AlertHsPhase2->Pause0 220 Covered T1,T2,T3
Idle->AlertHsPhase1 203 Covered T1,T2,T3
Idle->PingHsPhase1 203 Covered T1,T2,T3
Pause0->Idle 256 Covered T1,T21,T15
Pause0->Pause1 242 Covered T1,T2,T3
Pause1->Idle 246 Covered T1,T2,T3
PingHsPhase1->Idle 256 Covered T1,T2,T3
PingHsPhase1->PingHsPhase2 227 Covered T1,T2,T3
PingHsPhase2->Idle 256 Covered T1,T3,T17
PingHsPhase2->Pause0 237 Covered T1,T2,T3



Branch Coverage for Module : prim_alert_sender
Line No.TotalCoveredPercent
Branches 24 23 95.83
TERNARY 172 2 2 100.00
TERNARY 178 2 2 100.00
TERNARY 167 2 2 100.00
CASE 199 14 13 92.86
IF 255 2 2 100.00
IF 276 2 2 100.00


172 assign alert_test_set_d = (alert_clr) ? 1'b0 : alert_test_trigger; -1- ==> ==>

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


178 assign ping_set_d = (ping_clr) ? 1'b0 : ping_trigger; -1- ==> ==>

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


167 assign alert_set_d = (alert_clr) ? 1'b0 : alert_req_trigger; -1- ==> ==>

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


199 unique case (state_q) -1- 200 Idle: begin 201 // alert always takes precedence 202 if (alert_trigger || ping_trigger) begin -2- 203 state_d = (alert_trigger) ? AlertHsPhase1 : PingHsPhase1; -3- ==> ==> 204 alert_pd = 1'b1; 205 alert_nd = 1'b0; 206 end MISSING_ELSE ==> 207 end 208 // waiting for ack from receiver 209 AlertHsPhase1: begin 210 if (ack_level) begin -4- 211 state_d = AlertHsPhase2; ==> 212 end else begin 213 alert_pd = 1'b1; ==> 214 alert_nd = 1'b0; 215 end 216 end 217 // wait for deassertion of ack 218 AlertHsPhase2: begin 219 if (!ack_level) begin -5- 220 state_d = Pause0; ==> 221 alert_clr = 1'b1; 222 end MISSING_ELSE ==> 223 end 224 // waiting for ack from receiver 225 PingHsPhase1: begin 226 if (ack_level) begin -6- 227 state_d = PingHsPhase2; ==> 228 end else begin 229 alert_pd = 1'b1; ==> 230 alert_nd = 1'b0; 231 end 232 end 233 // wait for deassertion of ack 234 PingHsPhase2: begin 235 if (!ack_level) begin -7- 236 ping_clr = 1'b1; ==> 237 state_d = Pause0; 238 end MISSING_ELSE ==> 239 end 240 // pause cycles between back-to-back handshakes 241 Pause0: begin 242 state_d = Pause1; ==> 243 end 244 // clear and ack alert request if it was set 245 Pause1: begin 246 state_d = Idle; ==> 247 end 248 // catch parasitic states 249 default : state_d = Idle; ==>

Branches:
-1--2--3--4--5--6--7-StatusTests
Idle 1 1 - - - - Covered T1,T2,T3
Idle 1 0 - - - - Covered T1,T2,T3
Idle 0 - - - - - Covered T1,T2,T3
AlertHsPhase1 - - 1 - - - Covered T1,T2,T3
AlertHsPhase1 - - 0 - - - Covered T1,T2,T3
AlertHsPhase2 - - - 1 - - Covered T1,T2,T3
AlertHsPhase2 - - - 0 - - Covered T1,T2,T3
PingHsPhase1 - - - - 1 - Covered T1,T2,T3
PingHsPhase1 - - - - 0 - Covered T1,T2,T3
PingHsPhase2 - - - - - 1 Covered T1,T2,T3
PingHsPhase2 - - - - - 0 Covered T1,T2,T3
Pause0 - - - - - - Covered T1,T2,T3
Pause1 - - - - - - Covered T1,T2,T3
default - - - - - - Not Covered


255 if (sigint_detected) begin -1- 256 state_d = Idle; ==> 257 alert_pd = 1'b0; 258 alert_nd = 1'b0; 259 ping_clr = 1'b1; 260 alert_clr = 1'b0; 261 end MISSING_ELSE ==>

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


276 if (!rst_ni) begin -1- 277 state_q <= Idle; ==> 278 alert_set_q <= 1'b0; 279 alert_test_set_q <= 1'b0; 280 ping_set_q <= 1'b0; 281 end else begin 282 state_q <= state_d; ==>

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Module : prim_alert_sender
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 17 77.27
Cover properties 0 0 0
Cover sequences 0 0 0
Total 22 22 100.00 17 77.27




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertHs_A 154147 1095 0 0
AlertPKnownO_A 154147 117250 0 0
AlertState0_A 154147 117250 0 0
AlertTest1_A 154147 79 0 0
AlertTestHs_A 154147 79 0 0
gen_async_assert.DiffEncoding_A 79705 54459 0 0
gen_async_assert.InBandInitFsm_A 79705 120 0 156
gen_async_assert.InBandInitPing_A 79705 120 0 156
gen_async_assert.PingHs_A 79705 369 0 0
gen_async_assert.SigIntAck_A 79705 120 0 195
gen_async_assert.SigIntPing_A 79705 120 0 195
gen_fatal_assert.AlertState1_A 113176 6132 0 0
gen_fatal_assert.AlertState2_A 113176 45641 0 0
gen_fatal_assert.AlertState3_A 113176 4289 0 0
gen_recov_assert.AlertState1_A 40971 6556 0 0
gen_recov_assert.AlertState2_A 40971 0 0 0
gen_sync_assert.DiffEncoding_A 74442 52009 0 0
gen_sync_assert.InBandInitFsm_A 74442 0 0 0
gen_sync_assert.InBandInitPing_A 74442 0 0 0
gen_sync_assert.PingHs_A 74442 366 0 0
gen_sync_assert.SigIntAck_A 74442 0 0 0
gen_sync_assert.SigIntPing_A 74442 0 0 0


AlertHs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 154147 1095 0 0
T1 1093 16 0 0
T2 1120 14 0 0
T3 1238 15 0 0
T7 1173 16 0 0
T8 1110 14 0 0
T17 1077 14 0 0
T18 1066 16 0 0
T19 1045 13 0 0
T20 1107 17 0 0
T21 1088 16 0 0

AlertPKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 154147 117250 0 0
T1 1093 1031 0 0
T2 1120 1036 0 0
T3 1238 1123 0 0
T7 1173 1079 0 0
T8 1110 970 0 0
T17 1077 1015 0 0
T18 1066 995 0 0
T19 1045 986 0 0
T20 1107 1045 0 0
T21 1088 1019 0 0

AlertState0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 154147 117250 0 0
T1 1093 1031 0 0
T2 1120 1036 0 0
T3 1238 1123 0 0
T7 1173 1079 0 0
T8 1110 970 0 0
T17 1077 1015 0 0
T18 1066 995 0 0
T19 1045 986 0 0
T20 1107 1045 0 0
T21 1088 1019 0 0

AlertTest1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 154147 79 0 0
T1 1093 1 0 0
T2 1120 1 0 0
T3 1238 1 0 0
T7 1173 1 0 0
T8 1110 1 0 0
T17 1077 1 0 0
T18 1066 1 0 0
T19 1045 1 0 0
T20 1107 1 0 0
T21 1088 1 0 0

AlertTestHs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 154147 79 0 0
T1 1093 1 0 0
T2 1120 1 0 0
T3 1238 1 0 0
T7 1173 1 0 0
T8 1110 1 0 0
T17 1077 1 0 0
T18 1066 1 0 0
T19 1045 1 0 0
T20 1107 1 0 0
T21 1088 1 0 0

gen_async_assert.DiffEncoding_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 79705 54459 0 0
T1 1093 904 0 0
T2 1120 920 0 0
T3 1238 962 0 0
T7 1173 943 0 0
T8 1110 863 0 0
T17 1077 915 0 0
T18 1066 896 0 0
T19 1045 877 0 0
T20 1107 916 0 0
T21 1088 895 0 0

gen_async_assert.InBandInitFsm_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 79705 120 0 156
T1 1093 4 0 4
T2 1120 4 0 4
T3 1238 3 0 4
T7 1173 4 0 4
T8 1110 3 0 4
T17 1077 3 0 4
T18 1066 3 0 4
T19 1045 2 0 4
T20 1107 4 0 4
T21 1088 4 0 4

gen_async_assert.InBandInitPing_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 79705 120 0 156
T1 1093 4 0 4
T2 1120 4 0 4
T3 1238 3 0 4
T7 1173 4 0 4
T8 1110 3 0 4
T17 1077 3 0 4
T18 1066 3 0 4
T19 1045 2 0 4
T20 1107 4 0 4
T21 1088 4 0 4

gen_async_assert.PingHs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 79705 369 0 0
T1 1093 9 0 0
T2 1120 10 0 0
T3 1238 10 0 0
T7 1173 8 0 0
T8 1110 10 0 0
T17 1077 10 0 0
T18 1066 9 0 0
T19 1045 9 0 0
T20 1107 9 0 0
T21 1088 9 0 0

gen_async_assert.SigIntAck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 79705 120 0 195
T1 1093 4 0 5
T2 1120 4 0 5
T3 1238 3 0 5
T7 1173 4 0 5
T8 1110 3 0 5
T17 1077 3 0 5
T18 1066 3 0 5
T19 1045 2 0 5
T20 1107 4 0 5
T21 1088 4 0 5

gen_async_assert.SigIntPing_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 79705 120 0 195
T1 1093 4 0 5
T2 1120 4 0 5
T3 1238 3 0 5
T7 1173 4 0 5
T8 1110 3 0 5
T17 1077 3 0 5
T18 1066 3 0 5
T19 1045 2 0 5
T20 1107 4 0 5
T21 1088 4 0 5

gen_fatal_assert.AlertState1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 113176 6132 0 0
T4 2826 221 0 0
T15 3039 274 0 0
T39 3065 219 0 0
T40 2947 237 0 0
T41 2990 216 0 0
T42 3200 286 0 0
T43 3025 258 0 0
T44 2967 282 0 0
T45 3016 209 0 0
T46 2909 236 0 0

gen_fatal_assert.AlertState2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 113176 45641 0 0
T4 2826 1144 0 0
T15 3039 1254 0 0
T39 3065 1292 0 0
T40 2947 1233 0 0
T41 2990 1192 0 0
T42 3200 1382 0 0
T43 3025 1325 0 0
T44 2967 1304 0 0
T45 3016 1279 0 0
T46 2909 1209 0 0

gen_fatal_assert.AlertState3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 113176 4289 0 0
T4 2826 61 0 0
T15 3039 63 0 0
T39 3065 68 0 0
T40 2947 63 0 0
T41 2990 62 0 0
T42 3200 69 0 0
T43 3025 68 0 0
T44 2967 64 0 0
T45 3016 68 0 0
T46 2909 61 0 0

gen_recov_assert.AlertState1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 40971 6556 0 0
T1 1093 247 0 0
T2 1120 242 0 0
T3 1238 227 0 0
T7 1173 261 0 0
T8 1110 217 0 0
T17 1077 226 0 0
T18 1066 249 0 0
T19 1045 206 0 0
T20 1107 285 0 0
T21 1088 248 0 0

gen_recov_assert.AlertState2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 40971 0 0 0

gen_sync_assert.DiffEncoding_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 74442 52009 0 0
T24 911 763 0 0
T25 1009 752 0 0
T26 983 816 0 0
T27 960 778 0 0
T28 888 748 0 0
T34 888 776 0 0
T35 874 720 0 0
T36 928 802 0 0
T37 1032 801 0 0
T38 1014 791 0 0

gen_sync_assert.InBandInitFsm_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 74442 0 0 0

gen_sync_assert.InBandInitPing_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 74442 0 0 0

gen_sync_assert.PingHs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 74442 366 0 0
T24 911 8 0 0
T25 1009 9 0 0
T26 983 8 0 0
T27 960 9 0 0
T28 888 8 0 0
T34 888 10 0 0
T35 874 10 0 0
T36 928 9 0 0
T37 1032 10 0 0
T38 1014 10 0 0

gen_sync_assert.SigIntAck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 74442 0 0 0

gen_sync_assert.SigIntPing_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 74442 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%