Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : rom_ctrl
SCORELINECONDTOGGLEFSMBRANCHASSERT
94.98 100.00 98.28 97.33 100.00 79.31

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_rom_ctrl_0.1/rtl/rom_ctrl.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut 94.98 100.00 98.28 97.33 100.00 79.31



Module Instance : tb.dut

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
94.98 100.00 98.28 97.33 100.00 79.31


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.53 97.11 93.27 97.88 100.00 99.02 97.89


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_alert_tx[0].u_alert_sender 100.00 100.00
gen_fsm_scramble_enabled.u_checker_fsm 96.36 100.00 97.22 90.00 100.00 100.00 90.91
gen_rom_scramble_enabled.u_rom 98.21 92.86 100.00 100.00 100.00
regs_tlul_assert_device 100.00 100.00 100.00 100.00
rom_ctrl_regs_csr_assert 100.00 100.00
rom_tlul_assert_device 99.18 100.00 100.00 97.55
u_mux 95.24 100.00 85.71 100.00
u_reg_regs 99.72 99.41 99.21 100.00 100.00 100.00
u_rom_top 100.00 100.00 100.00 100.00
u_tl_adapter_rom 94.26 91.56 84.30 99.07 96.39 100.00
u_tl_rom_h2d_buf 100.00 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : rom_ctrl
Line No.TotalCoveredPercent
TOTAL6565100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN12211100.00
CONT_ASSIGN12311100.00
CONT_ASSIGN12411100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN12811100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN26811100.00
CONT_ASSIGN32311100.00
CONT_ASSIGN42511100.00
CONT_ASSIGN42511100.00
CONT_ASSIGN42511100.00
CONT_ASSIGN42511100.00
CONT_ASSIGN42511100.00
CONT_ASSIGN42511100.00
CONT_ASSIGN42511100.00
CONT_ASSIGN42511100.00
CONT_ASSIGN42611100.00
CONT_ASSIGN42611100.00
CONT_ASSIGN42611100.00
CONT_ASSIGN42611100.00
CONT_ASSIGN42611100.00
CONT_ASSIGN42611100.00
CONT_ASSIGN42611100.00
CONT_ASSIGN42611100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN42911100.00
CONT_ASSIGN42911100.00
CONT_ASSIGN42911100.00
CONT_ASSIGN42911100.00
CONT_ASSIGN42911100.00
CONT_ASSIGN42911100.00
CONT_ASSIGN42911100.00
CONT_ASSIGN42911100.00
CONT_ASSIGN43111100.00
CONT_ASSIGN43111100.00
CONT_ASSIGN43111100.00
CONT_ASSIGN43111100.00
CONT_ASSIGN43111100.00
CONT_ASSIGN43111100.00
CONT_ASSIGN43111100.00
CONT_ASSIGN43111100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN43611100.00
CONT_ASSIGN43811100.00
CONT_ASSIGN44111100.00
CONT_ASSIGN44211100.00
CONT_ASSIGN44311100.00
CONT_ASSIGN44411100.00
CONT_ASSIGN44911100.00
CONT_ASSIGN45311100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rom_ctrl_0.1/rtl/rom_ctrl.sv' or '../src/lowrisc_ip_rom_ctrl_0.1/rtl/rom_ctrl.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
117 1 1
122 1 1
123 1 1
124 1 1
125 1 1
128 1 1
222 1 1
268 1 1
323 1 1
425 8 8
426 8 8
428 8 8
429 8 8
431 8 8
432 8 8
436 1 1
438 1 1
441 1 1
442 1 1
443 1 1
444 1 1
449 1 1
453 1 1


Cond Coverage for Module : rom_ctrl
TotalCoveredPercent
Conditions585798.28
Logical585798.28
Non-Logical00
Event00

 LINE       222
 EXPRESSION (tl_rom_h2d_upstream.a_valid ? tl_rom_h2d_upstream.a_address[2+:RomIndexWidth] : '0)
             -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T4,T5

 LINE       268
 EXPRESSION (bus_rom_rvalid_raw & ((!internal_alert)))
             ---------1--------   ---------2---------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT8,T39,T40
11CoveredT3,T4,T5

 LINE       429
 EXPRESSION (exp_digest_de && (0[2:0] == exp_digest_idx))
             ------1------    -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       429
 SUB-EXPRESSION (0[2:0] == exp_digest_idx)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       429
 EXPRESSION (exp_digest_de && (1[2:0] == exp_digest_idx))
             ------1------    -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       429
 SUB-EXPRESSION (1[2:0] == exp_digest_idx)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       429
 EXPRESSION (exp_digest_de && (2[2:0] == exp_digest_idx))
             ------1------    -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       429
 SUB-EXPRESSION (2[2:0] == exp_digest_idx)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       429
 EXPRESSION (exp_digest_de && (3[2:0] == exp_digest_idx))
             ------1------    -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       429
 SUB-EXPRESSION (3[2:0] == exp_digest_idx)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       429
 EXPRESSION (exp_digest_de && (4[2:0] == exp_digest_idx))
             ------1------    -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       429
 SUB-EXPRESSION (4[2:0] == exp_digest_idx)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       429
 EXPRESSION (exp_digest_de && (5[2:0] == exp_digest_idx))
             ------1------    -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       429
 SUB-EXPRESSION (5[2:0] == exp_digest_idx)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       429
 EXPRESSION (exp_digest_de && (6[2:0] == exp_digest_idx))
             ------1------    -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       429
 SUB-EXPRESSION (6[2:0] == exp_digest_idx)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       429
 EXPRESSION (exp_digest_de && (7[2:0] == exp_digest_idx))
             ------1------    -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       429
 SUB-EXPRESSION (7[2:0] == exp_digest_idx)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       436
 EXPRESSION (rom_reg_integrity_error | rom_integrity_error | reg_integrity_error)
             -----------1-----------   ---------2---------   ---------3---------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT41,T42,T43
010Not Covered
100Unreachable

 LINE       438
 EXPRESSION (checker_alert | mux_alert)
             ------1------   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT8,T39,T40
10CoveredT1,T8,T20

 LINE       449
 EXPRESSION (reg2hw.alert_test.q & reg2hw.alert_test.qe)
             ---------1---------   ----------2---------
-1--2-StatusTests
01CoveredT2,T6,T9
10CoveredT3,T4,T6
11CoveredT6,T9,T10

 LINE       453
 EXPRESSION (bus_integrity_error | checker_alert | mux_alert)
             ---------1---------   ------2------   ----3----
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT8,T39,T40
010CoveredT1,T8,T20
100CoveredT41,T42,T43

Toggle Coverage for Module : rom_ctrl
TotalCoveredPercent
Totals 61 56 91.80
Total Bits 2882 2805 97.33
Total Bits 0->1 1441 1402 97.29
Total Bits 1->0 1441 1403 97.36

Ports 61 56 91.80
Port Bits 2882 2805 97.33
Port Bits 0->1 1441 1402 97.29
Port Bits 1->0 1441 1403 97.36

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T22,T23,T24 Yes T22,T23,T24 INPUT
rst_ni Yes Yes T23,T28,T29 Yes T22,T23,T24 INPUT
rom_cfg_i.cfg[3:0] No No No INPUT
rom_cfg_i.cfg_en No No No INPUT
rom_tl_i.d_ready Yes Yes T22,T23,T26 Yes T22,T23,T24 INPUT
rom_tl_i.a_user.data_intg[6:0] Yes Yes T23,T24,T25 Yes T23,T24,T25 INPUT
rom_tl_i.a_user.cmd_intg[6:0] Yes Yes T23,T24,T25 Yes T23,T24,T25 INPUT
rom_tl_i.a_user.instr_type[3:0] Yes Yes T24,T25,T27 Yes T24,T25,T27 INPUT
rom_tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
rom_tl_i.a_data[31:0] Yes Yes T23,T24,T25 Yes T23,T24,T25 INPUT
rom_tl_i.a_mask[3:0] Yes Yes T24,T25,T27 Yes T24,T25,T27 INPUT
rom_tl_i.a_address[31:0] Yes Yes T24,T25,T27 Yes T24,T25,T27 INPUT
rom_tl_i.a_source[7:0] Yes Yes T23,T24,T25 Yes T23,T24,T25 INPUT
rom_tl_i.a_size[1:0] Yes Yes T24,T25,T27 Yes T24,T25,T27 INPUT
rom_tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
rom_tl_i.a_opcode[2:0] Yes Yes T24,T25,T27 Yes T24,T25,T27 INPUT
rom_tl_i.a_valid Yes Yes T23,T24,T25 Yes T23,T24,T25 INPUT
rom_tl_o.a_ready Yes Yes T23,T28,T29 Yes T22,T23,T24 OUTPUT
rom_tl_o.d_error Yes Yes T24,T25,T27 Yes T24,T25,T27 OUTPUT
rom_tl_o.d_user.data_intg[6:0] Yes Yes T23,T29,T44 Yes T23,T29,T44 OUTPUT
rom_tl_o.d_user.rsp_intg[5:0] Yes Yes *T23,T24,T25 Yes T23,T24,T25 OUTPUT
rom_tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
rom_tl_o.d_data[31:0] Yes Yes T23,T24,T25 Yes T23,T24,T25 OUTPUT
rom_tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
rom_tl_o.d_source[7:0] Yes Yes T23,T24,T25 Yes T23,T24,T25 OUTPUT
rom_tl_o.d_size[1:0] Yes Yes T24,T25,T27 Yes T24,T25,T27 OUTPUT
rom_tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
rom_tl_o.d_opcode[0] Yes Yes *T24,*T25,*T27 Yes T24,T25,T27 OUTPUT
rom_tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
rom_tl_o.d_valid Yes Yes T23,T24,T25 Yes T23,T24,T25 OUTPUT
regs_tl_i.d_ready Yes Yes T22,T23,T26 Yes T22,T23,T24 INPUT
regs_tl_i.a_user.data_intg[6:0] Yes Yes T22,T23,T24 Yes T22,T23,T24 INPUT
regs_tl_i.a_user.cmd_intg[6:0] Yes Yes T22,T23,T24 Yes T22,T23,T24 INPUT
regs_tl_i.a_user.instr_type[3:0] Yes Yes T23,T24,T25 Yes T23,T24,T25 INPUT
regs_tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
regs_tl_i.a_data[31:0] Yes Yes T22,T23,T24 Yes T22,T23,T24 INPUT
regs_tl_i.a_mask[3:0] Yes Yes T22,T23,T24 Yes T22,T23,T24 INPUT
regs_tl_i.a_address[31:0] Yes Yes T22,T23,T24 Yes T22,T23,T24 INPUT
regs_tl_i.a_source[7:0] Yes Yes T22,T23,T24 Yes T22,T23,T24 INPUT
regs_tl_i.a_size[1:0] Yes Yes T22,T23,T24 Yes T22,T23,T24 INPUT
regs_tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
regs_tl_i.a_opcode[2:0] Yes Yes T22,T23,T24 Yes T22,T23,T24 INPUT
regs_tl_i.a_valid Yes Yes T22,T23,T24 Yes T22,T23,T24 INPUT
regs_tl_o.a_ready Yes Yes T22,T23,T24 Yes T22,T23,T24 OUTPUT
regs_tl_o.d_error Yes Yes T28,T45,T46 Yes T24,T25,T27 OUTPUT
regs_tl_o.d_user.data_intg[6:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
regs_tl_o.d_user.rsp_intg[5:0] Yes Yes *T22,*T23,*T24 Yes T22,T23,T24 OUTPUT
regs_tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
regs_tl_o.d_data[31:0] Yes Yes T22,T23,T26 Yes T22,T23,T24 OUTPUT
regs_tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
regs_tl_o.d_source[7:0] Yes Yes T22,T23,T24 Yes T22,T23,T24 OUTPUT
regs_tl_o.d_size[1:0] Yes Yes T22,T23,T24 Yes T22,T23,T24 OUTPUT
regs_tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
regs_tl_o.d_opcode[0] Yes Yes *T22,*T23,*T24 Yes T22,T23,T24 OUTPUT
regs_tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
regs_tl_o.d_valid Yes Yes T22,T23,T24 Yes T22,T23,T24 OUTPUT
alert_rx_i[0].ack_n Yes Yes T22,T23,T24 Yes T22,T23,T24 INPUT
alert_rx_i[0].ack_p Yes Yes T22,T23,T29 Yes T22,T23,T29 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T22,T23,T24 Yes T22,T23,T24 OUTPUT
alert_tx_o[0].alert_p Yes Yes T22,T23,T29 Yes T22,T23,T29 OUTPUT
pwrmgr_data_o.good[3:0] Yes Yes T22,T23,T24 Yes T22,T23,T24 OUTPUT
pwrmgr_data_o.done[3:0] Yes Yes T22,T23,T24 Yes T23,T29,T44 OUTPUT
keymgr_data_o.valid Yes Yes T23,T29,T44 Yes T22,T23,T24 OUTPUT
keymgr_data_o.data[255:0] Yes Yes T23,T29,T44 Yes T23,T24,T29 OUTPUT
kmac_data_i.error No Yes T1,T20,T37 No INPUT
kmac_data_i.digest_share1[383:0] Yes Yes T23,T29,T44 Yes T23,T29,T44 INPUT
kmac_data_i.digest_share0[383:0] Yes Yes T23,T29,T44 Yes T23,T29,T44 INPUT
kmac_data_i.done Yes Yes T22,T23,T24 Yes T22,T23,T24 INPUT
kmac_data_i.ready Yes Yes T22,T23,T24 Yes T22,T23,T24 INPUT
kmac_data_o.last Yes Yes T22,T23,T24 Yes T22,T23,T24 OUTPUT
kmac_data_o.strb[7:0] No No No OUTPUT
kmac_data_o.data[38:0] Yes Yes T22,T23,T24 Yes T22,T23,T24 OUTPUT
kmac_data_o.data[63:39] No No No OUTPUT
kmac_data_o.valid Yes Yes T22,T23,T24 Yes T22,T23,T24 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Module : rom_ctrl
Line No.TotalCoveredPercent
Branches 2 2 100.00
TERNARY 222 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rom_ctrl_0.1/rtl/rom_ctrl.sv' or '../src/lowrisc_ip_rom_ctrl_0.1/rtl/rom_ctrl.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 222 (tl_rom_h2d_upstream.a_valid) ?

Branches:
-1-StatusTests
1 Covered T3,T4,T5
0 Covered T1,T2,T3


Assert Coverage for Module : rom_ctrl
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 29 29 100.00 23 79.31
Cover properties 0 0 0
Cover sequences 0 0 0
Total 29 29 100.00 23 79.31




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertTxOKnown_A 262941156 262766665 0 0
BusRomIndicesMatch_A 262918878 262752424 0 0
FpvSecCmFifoRptrCheck_A 262941156 0 0 0
FpvSecCmFifoWptrCheck_A 262941156 0 0 0
FpvSecCmRegWeOnehotCheck_A 262941156 60 0 0
KeymgrDataODataKnown_A 262941156 106898357 0 0
KeymgrDataODataKnown_AKnownEnable 262941156 262766665 0 0
KeymgrDataOValidKnown_A 262941156 262766665 0 0
KeymgrValidChk_A 262941156 0 0 337
KmacDataODataKnown_A 262941156 155731414 0 0
KmacDataODataKnown_AKnownEnable 262941156 262766665 0 0
KmacDataOValidKnown_A 262941156 262766665 0 0
PwrmgrDataChk_A 262941156 0 0 337
PwrmgrDataOKnown_A 262941156 262766665 0 0
RegsTlOAReadyKnown_A 262941156 262766665 0 0
RegsTlODDataKnown_A 262941156 14673051 0 0
RegsTlODDataKnown_AKnownEnable 262941156 262766665 0 0
RegsTlODValidKnown_A 262941156 262766665 0 0
RomTlOAReadyKnown_A 262941156 262766665 0 0
RomTlODDataKnown_A 262941156 15748255 0 0
RomTlODDataKnown_AKnownEnable 262941156 262766665 0 0
RomTlODValidKnown_A 262941156 262766665 0 0
StabilityChkKmac_A 262941156 155728904 0 0
StabilityChkkeymgr_A 262941156 106897033 0 0
TlAccessChk_A 262941156 155868308 0 0
gen_asserts_with_scrambling.FpvSecCmCheckerFsmAlert_A 262941156 60 0 0
gen_asserts_with_scrambling.FpvSecCmCompareAddrCtrCheck_A 262941156 0 0 0
gen_asserts_with_scrambling.FpvSecCmCompareFsmAlert_A 262941156 495 0 0
gen_fsm_scramble_enabled_asserts.BusLocalEscChk_A 262941156 0 0 0


AlertTxOKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 262766665 0 0
T1 16762 16578 0 0
T2 8486 8424 0 0
T3 302831 302670 0 0
T4 336573 336435 0 0
T5 457394 457034 0 0
T6 8541 8484 0 0
T7 9060 8976 0 0
T8 515604 512745 0 0
T9 8402 8336 0 0
T10 211855 211771 0 0

BusRomIndicesMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262918878 262752424 0 0
T1 16762 16578 0 0
T2 8486 8424 0 0
T3 302831 302670 0 0
T4 336573 336435 0 0
T5 457394 457034 0 0
T6 8541 8484 0 0
T7 9060 8976 0 0
T8 515530 512725 0 0
T9 8402 8336 0 0
T10 211855 211771 0 0

FpvSecCmFifoRptrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 0 0 0

FpvSecCmFifoWptrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 60 0 0
T41 190055 10 0 0
T42 109997 10 0 0
T43 0 10 0 0
T47 0 10 0 0
T48 0 20 0 0
T49 8430 0 0 0
T50 150386 0 0 0
T51 205980 0 0 0
T52 176223 0 0 0
T53 18279 0 0 0
T54 30613 0 0 0
T55 16636 0 0 0
T56 255033 0 0 0

KeymgrDataODataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 106898357 0 0
T1 16762 131 0 0
T2 8486 219 0 0
T3 302831 1288 0 0
T4 336573 1469 0 0
T5 457394 3022 0 0
T6 8541 279 0 0
T7 9060 771 0 0
T8 515604 5704 0 0
T9 8402 131 0 0
T10 211855 13 0 0

KeymgrDataODataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 262766665 0 0
T1 16762 16578 0 0
T2 8486 8424 0 0
T3 302831 302670 0 0
T4 336573 336435 0 0
T5 457394 457034 0 0
T6 8541 8484 0 0
T7 9060 8976 0 0
T8 515604 512745 0 0
T9 8402 8336 0 0
T10 211855 211771 0 0

KeymgrDataOValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 262766665 0 0
T1 16762 16578 0 0
T2 8486 8424 0 0
T3 302831 302670 0 0
T4 336573 336435 0 0
T5 457394 457034 0 0
T6 8541 8484 0 0
T7 9060 8976 0 0
T8 515604 512745 0 0
T9 8402 8336 0 0
T10 211855 211771 0 0

KeymgrValidChk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 0 0 337

KmacDataODataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 155731414 0 0
T1 16762 16368 0 0
T2 8486 8184 0 0
T3 302831 301158 0 0
T4 336573 334848 0 0
T5 457394 453789 0 0
T6 8541 8184 0 0
T7 9060 8184 0 0
T8 515604 505456 0 0
T9 8402 8184 0 0
T10 211855 211728 0 0

KmacDataODataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 262766665 0 0
T1 16762 16578 0 0
T2 8486 8424 0 0
T3 302831 302670 0 0
T4 336573 336435 0 0
T5 457394 457034 0 0
T6 8541 8484 0 0
T7 9060 8976 0 0
T8 515604 512745 0 0
T9 8402 8336 0 0
T10 211855 211771 0 0

KmacDataOValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 262766665 0 0
T1 16762 16578 0 0
T2 8486 8424 0 0
T3 302831 302670 0 0
T4 336573 336435 0 0
T5 457394 457034 0 0
T6 8541 8484 0 0
T7 9060 8976 0 0
T8 515604 512745 0 0
T9 8402 8336 0 0
T10 211855 211771 0 0

PwrmgrDataChk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 0 0 337

PwrmgrDataOKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 262766665 0 0
T1 16762 16578 0 0
T2 8486 8424 0 0
T3 302831 302670 0 0
T4 336573 336435 0 0
T5 457394 457034 0 0
T6 8541 8484 0 0
T7 9060 8976 0 0
T8 515604 512745 0 0
T9 8402 8336 0 0
T10 211855 211771 0 0

RegsTlOAReadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 262766665 0 0
T1 16762 16578 0 0
T2 8486 8424 0 0
T3 302831 302670 0 0
T4 336573 336435 0 0
T5 457394 457034 0 0
T6 8541 8484 0 0
T7 9060 8976 0 0
T8 515604 512745 0 0
T9 8402 8336 0 0
T10 211855 211771 0 0

RegsTlODDataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 14673051 0 0
T1 16762 1 0 0
T2 8486 1 0 0
T3 302831 32 0 0
T4 336573 32 0 0
T5 457394 308 0 0
T6 8541 14 0 0
T7 9060 0 0 0
T8 515604 35 0 0
T9 8402 9 0 0
T10 211855 80 0 0
T20 0 1 0 0

RegsTlODDataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 262766665 0 0
T1 16762 16578 0 0
T2 8486 8424 0 0
T3 302831 302670 0 0
T4 336573 336435 0 0
T5 457394 457034 0 0
T6 8541 8484 0 0
T7 9060 8976 0 0
T8 515604 512745 0 0
T9 8402 8336 0 0
T10 211855 211771 0 0

RegsTlODValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 262766665 0 0
T1 16762 16578 0 0
T2 8486 8424 0 0
T3 302831 302670 0 0
T4 336573 336435 0 0
T5 457394 457034 0 0
T6 8541 8484 0 0
T7 9060 8976 0 0
T8 515604 512745 0 0
T9 8402 8336 0 0
T10 211855 211771 0 0

RomTlOAReadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 262766665 0 0
T1 16762 16578 0 0
T2 8486 8424 0 0
T3 302831 302670 0 0
T4 336573 336435 0 0
T5 457394 457034 0 0
T6 8541 8484 0 0
T7 9060 8976 0 0
T8 515604 512745 0 0
T9 8402 8336 0 0
T10 211855 211771 0 0

RomTlODDataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 15748255 0 0
T3 302831 346 0 0
T4 336573 276 0 0
T5 457394 150 0 0
T6 8541 0 0 0
T7 9060 62 0 0
T8 515604 13 0 0
T9 8402 0 0 0
T10 211855 0 0 0
T11 0 353254 0 0
T12 0 161775 0 0
T17 0 493 0 0
T18 0 262 0 0
T19 0 390 0 0
T20 238871 0 0 0
T21 82179 0 0 0

RomTlODDataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 262766665 0 0
T1 16762 16578 0 0
T2 8486 8424 0 0
T3 302831 302670 0 0
T4 336573 336435 0 0
T5 457394 457034 0 0
T6 8541 8484 0 0
T7 9060 8976 0 0
T8 515604 512745 0 0
T9 8402 8336 0 0
T10 211855 211771 0 0

RomTlODValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 262766665 0 0
T1 16762 16578 0 0
T2 8486 8424 0 0
T3 302831 302670 0 0
T4 336573 336435 0 0
T5 457394 457034 0 0
T6 8541 8484 0 0
T7 9060 8976 0 0
T8 515604 512745 0 0
T9 8402 8336 0 0
T10 211855 211771 0 0

StabilityChkKmac_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 155728904 0 0
T1 16762 16366 0 0
T2 8486 8183 0 0
T3 302831 301156 0 0
T4 336573 334846 0 0
T5 457394 453784 0 0
T6 8541 8183 0 0
T7 9060 8183 0 0
T8 515604 505418 0 0
T9 8402 8183 0 0
T10 211855 211727 0 0

StabilityChkkeymgr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 106897033 0 0
T1 16762 130 0 0
T2 8486 218 0 0
T3 302831 1286 0 0
T4 336573 1467 0 0
T5 457394 3018 0 0
T6 8541 278 0 0
T7 9060 770 0 0
T8 515604 5688 0 0
T9 8402 130 0 0
T10 211855 12 0 0

TlAccessChk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 155868308 0 0
T1 16762 16447 0 0
T2 8486 8205 0 0
T3 302831 301382 0 0
T4 336573 334966 0 0
T5 457394 454012 0 0
T6 8541 8205 0 0
T7 9060 8205 0 0
T8 515604 507041 0 0
T9 8402 8205 0 0
T10 211855 211758 0 0

gen_asserts_with_scrambling.FpvSecCmCheckerFsmAlert_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 60 0 0
T41 190055 10 0 0
T42 109997 10 0 0
T43 0 10 0 0
T47 0 10 0 0
T48 0 20 0 0
T49 8430 0 0 0
T50 150386 0 0 0
T51 205980 0 0 0
T52 176223 0 0 0
T53 18279 0 0 0
T54 30613 0 0 0
T55 16636 0 0 0
T56 255033 0 0 0

gen_asserts_with_scrambling.FpvSecCmCompareAddrCtrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 0 0 0

gen_asserts_with_scrambling.FpvSecCmCompareFsmAlert_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 495 0 0
T8 515604 5 0 0
T9 8402 0 0 0
T10 211855 0 0 0
T11 331477 0 0 0
T12 317402 0 0 0
T17 408137 0 0 0
T18 195928 0 0 0
T19 222499 0 0 0
T20 238871 0 0 0
T21 82179 0 0 0
T39 0 10 0 0
T40 0 5 0 0
T57 0 5 0 0
T58 0 15 0 0
T59 0 5 0 0
T60 0 5 0 0
T61 0 11 0 0
T62 0 10 0 0
T63 0 10 0 0

gen_fsm_scramble_enabled_asserts.BusLocalEscChk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 262941156 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%