Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : rom_ctrl
SCORELINECONDTOGGLEFSMBRANCHASSERT
94.98 100.00 98.28 97.33 100.00 79.31

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_rom_ctrl_0.1/rtl/rom_ctrl.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut 94.98 100.00 98.28 97.33 100.00 79.31



Module Instance : tb.dut

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
94.98 100.00 98.28 97.33 100.00 79.31


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.31 97.04 92.50 97.88 100.00 98.37 98.04


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_alert_tx[0].u_alert_sender 100.00 100.00
gen_fsm_scramble_enabled.u_checker_fsm 95.92 100.00 96.30 90.00 100.00 98.31 90.91
gen_rom_scramble_enabled.u_rom 97.06 88.24 100.00 100.00 100.00
regs_tlul_assert_device 100.00 100.00 100.00 100.00
rom_ctrl_regs_csr_assert 100.00 100.00
rom_tlul_assert_device 99.30 100.00 100.00 97.90
u_mux 95.24 100.00 85.71 100.00
u_reg_regs 99.72 99.41 99.21 100.00 100.00 100.00
u_rom_top 100.00 100.00 100.00 100.00
u_tl_adapter_rom 93.69 91.56 82.64 99.07 95.18 100.00
u_tl_rom_h2d_buf 100.00 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : rom_ctrl
Line No.TotalCoveredPercent
TOTAL6565100.00
CONT_ASSIGN11811100.00
CONT_ASSIGN12311100.00
CONT_ASSIGN12411100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN12611100.00
CONT_ASSIGN12911100.00
CONT_ASSIGN22111100.00
CONT_ASSIGN26711100.00
CONT_ASSIGN32211100.00
CONT_ASSIGN42311100.00
CONT_ASSIGN42311100.00
CONT_ASSIGN42311100.00
CONT_ASSIGN42311100.00
CONT_ASSIGN42311100.00
CONT_ASSIGN42311100.00
CONT_ASSIGN42311100.00
CONT_ASSIGN42311100.00
CONT_ASSIGN42411100.00
CONT_ASSIGN42411100.00
CONT_ASSIGN42411100.00
CONT_ASSIGN42411100.00
CONT_ASSIGN42411100.00
CONT_ASSIGN42411100.00
CONT_ASSIGN42411100.00
CONT_ASSIGN42411100.00
CONT_ASSIGN42611100.00
CONT_ASSIGN42611100.00
CONT_ASSIGN42611100.00
CONT_ASSIGN42611100.00
CONT_ASSIGN42611100.00
CONT_ASSIGN42611100.00
CONT_ASSIGN42611100.00
CONT_ASSIGN42611100.00
CONT_ASSIGN42711100.00
CONT_ASSIGN42711100.00
CONT_ASSIGN42711100.00
CONT_ASSIGN42711100.00
CONT_ASSIGN42711100.00
CONT_ASSIGN42711100.00
CONT_ASSIGN42711100.00
CONT_ASSIGN42711100.00
CONT_ASSIGN42911100.00
CONT_ASSIGN42911100.00
CONT_ASSIGN42911100.00
CONT_ASSIGN42911100.00
CONT_ASSIGN42911100.00
CONT_ASSIGN42911100.00
CONT_ASSIGN42911100.00
CONT_ASSIGN42911100.00
CONT_ASSIGN43011100.00
CONT_ASSIGN43011100.00
CONT_ASSIGN43011100.00
CONT_ASSIGN43011100.00
CONT_ASSIGN43011100.00
CONT_ASSIGN43011100.00
CONT_ASSIGN43011100.00
CONT_ASSIGN43011100.00
CONT_ASSIGN43411100.00
CONT_ASSIGN43611100.00
CONT_ASSIGN43911100.00
CONT_ASSIGN44011100.00
CONT_ASSIGN44111100.00
CONT_ASSIGN44211100.00
CONT_ASSIGN44711100.00
CONT_ASSIGN45111100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rom_ctrl_0.1/rtl/rom_ctrl.sv' or '../src/lowrisc_ip_rom_ctrl_0.1/rtl/rom_ctrl.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
118 1 1
123 1 1
124 1 1
125 1 1
126 1 1
129 1 1
221 1 1
267 1 1
322 1 1
423 8 8
424 8 8
426 8 8
427 8 8
429 8 8
430 8 8
434 1 1
436 1 1
439 1 1
440 1 1
441 1 1
442 1 1
447 1 1
451 1 1


Cond Coverage for Module : rom_ctrl
TotalCoveredPercent
Conditions585798.28
Logical585798.28
Non-Logical00
Event00

 LINE       221
 EXPRESSION (tl_rom_h2d_upstream.a_valid ? tl_rom_h2d_upstream.a_address[2+:RomIndexWidth] : '0)
             -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       267
 EXPRESSION (bus_rom_rvalid_raw & ((!internal_alert)))
             ---------1--------   ---------2---------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT10,T29,T30
11CoveredT1,T2,T3

 LINE       427
 EXPRESSION (exp_digest_de && (0[2:0] == exp_digest_idx))
             ------1------    -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       427
 SUB-EXPRESSION (0[2:0] == exp_digest_idx)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       427
 EXPRESSION (exp_digest_de && (1[2:0] == exp_digest_idx))
             ------1------    -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       427
 SUB-EXPRESSION (1[2:0] == exp_digest_idx)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       427
 EXPRESSION (exp_digest_de && (2[2:0] == exp_digest_idx))
             ------1------    -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       427
 SUB-EXPRESSION (2[2:0] == exp_digest_idx)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       427
 EXPRESSION (exp_digest_de && (3[2:0] == exp_digest_idx))
             ------1------    -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       427
 SUB-EXPRESSION (3[2:0] == exp_digest_idx)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       427
 EXPRESSION (exp_digest_de && (4[2:0] == exp_digest_idx))
             ------1------    -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       427
 SUB-EXPRESSION (4[2:0] == exp_digest_idx)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       427
 EXPRESSION (exp_digest_de && (5[2:0] == exp_digest_idx))
             ------1------    -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       427
 SUB-EXPRESSION (5[2:0] == exp_digest_idx)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       427
 EXPRESSION (exp_digest_de && (6[2:0] == exp_digest_idx))
             ------1------    -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       427
 SUB-EXPRESSION (6[2:0] == exp_digest_idx)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       427
 EXPRESSION (exp_digest_de && (7[2:0] == exp_digest_idx))
             ------1------    -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       427
 SUB-EXPRESSION (7[2:0] == exp_digest_idx)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       434
 EXPRESSION (rom_reg_integrity_error | rom_integrity_error | reg_integrity_error)
             -----------1-----------   ---------2---------   ---------3---------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT18,T33,T36
010Not Covered
100Unreachable

 LINE       436
 EXPRESSION (checker_alert | mux_alert)
             ------1------   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT10,T29,T30
10CoveredT10,T18,T33

 LINE       447
 EXPRESSION (reg2hw.alert_test.q & reg2hw.alert_test.qe)
             ---------1---------   ----------2---------
-1--2-StatusTests
01CoveredT6,T37,T38
10CoveredT1,T2,T3
11CoveredT6,T37,T38

 LINE       451
 EXPRESSION (bus_integrity_error | checker_alert | mux_alert)
             ---------1---------   ------2------   ----3----
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT10,T29,T30
010CoveredT10,T18,T33
100CoveredT18,T33,T36

Toggle Coverage for Module : rom_ctrl
TotalCoveredPercent
Totals 61 56 91.80
Total Bits 2882 2805 97.33
Total Bits 0->1 1441 1402 97.29
Total Bits 1->0 1441 1403 97.36

Ports 61 56 91.80
Port Bits 2882 2805 97.33
Port Bits 0->1 1441 1402 97.29
Port Bits 1->0 1441 1403 97.36

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rom_cfg_i.cfg[3:0] No No No INPUT
rom_cfg_i.cfg_en No No No INPUT
rom_tl_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rom_tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rom_tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rom_tl_i.a_user.instr_type[3:0] Yes Yes T9,T10,T11 Yes T9,T10,T11 INPUT
rom_tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
rom_tl_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rom_tl_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rom_tl_i.a_address[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rom_tl_i.a_source[7:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
rom_tl_i.a_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rom_tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
rom_tl_i.a_opcode[2:0] Yes Yes T9,T10,T11 Yes T9,T10,T11 INPUT
rom_tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rom_tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
rom_tl_o.d_error Yes Yes T14,T15,T16 Yes T14,T15,T16 OUTPUT
rom_tl_o.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
rom_tl_o.d_user.rsp_intg[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
rom_tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
rom_tl_o.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
rom_tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
rom_tl_o.d_source[7:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
rom_tl_o.d_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
rom_tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
rom_tl_o.d_opcode[0] Yes Yes *T14,*T15,*T16 Yes T14,T15,T16 OUTPUT
rom_tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
rom_tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
regs_tl_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
regs_tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
regs_tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
regs_tl_i.a_user.instr_type[3:0] Yes Yes T5,T8,T9 Yes T5,T8,T9 INPUT
regs_tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
regs_tl_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
regs_tl_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
regs_tl_i.a_address[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
regs_tl_i.a_source[7:0] Yes Yes T1,T3,T4 Yes T1,T3,T5 INPUT
regs_tl_i.a_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
regs_tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
regs_tl_i.a_opcode[2:0] Yes Yes T5,T6,T8 Yes T4,T5,T6 INPUT
regs_tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
regs_tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
regs_tl_o.d_error Yes Yes T14,T15,T16 Yes T14,T15,T16 OUTPUT
regs_tl_o.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
regs_tl_o.d_user.rsp_intg[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
regs_tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
regs_tl_o.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
regs_tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
regs_tl_o.d_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
regs_tl_o.d_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
regs_tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
regs_tl_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
regs_tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
regs_tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T6,T10,T18 Yes T6,T10,T18 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T6,T10,T18 Yes T6,T10,T18 OUTPUT
pwrmgr_data_o.good[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
pwrmgr_data_o.done[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
keymgr_data_o.valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
keymgr_data_o.data[255:0] Yes Yes T7,T9,T10 Yes T7,T9,T10 OUTPUT
kmac_data_i.error No Yes T26,T27,T28 No INPUT
kmac_data_i.digest_share1[383:0] Yes Yes T3,T10,T17 Yes T8,T10,T39 INPUT
kmac_data_i.digest_share0[383:0] Yes Yes T3,T7,T10 Yes T7,T8,T10 INPUT
kmac_data_i.done Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
kmac_data_i.ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
kmac_data_o.last Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
kmac_data_o.strb[7:0] No No No OUTPUT
kmac_data_o.data[38:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
kmac_data_o.data[63:39] No No No OUTPUT
kmac_data_o.valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Module : rom_ctrl
Line No.TotalCoveredPercent
Branches 2 2 100.00
TERNARY 221 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rom_ctrl_0.1/rtl/rom_ctrl.sv' or '../src/lowrisc_ip_rom_ctrl_0.1/rtl/rom_ctrl.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 221 (tl_rom_h2d_upstream.a_valid) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Module : rom_ctrl
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 29 29 100.00 23 79.31
Cover properties 0 0 0
Cover sequences 0 0 0
Total 29 29 100.00 23 79.31




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertTxOKnown_A 135086420 134957116 0 0
BusRomIndicesMatch_A 135077294 134951267 0 0
FpvSecCmFifoRptrCheck_A 135086420 0 0 0
FpvSecCmFifoWptrCheck_A 135086420 0 0 0
FpvSecCmRegWeOnehotCheck_A 135086420 90 0 0
KeymgrDataODataKnown_A 135086420 46020620 0 0
KeymgrDataODataKnown_AKnownEnable 135086420 134957116 0 0
KeymgrDataOValidKnown_A 135086420 134957116 0 0
KeymgrValidChk_A 135086420 0 0 293
KmacDataODataKnown_A 135086420 88848545 0 0
KmacDataODataKnown_AKnownEnable 135086420 134957116 0 0
KmacDataOValidKnown_A 135086420 134957116 0 0
PwrmgrDataChk_A 135086420 0 0 293
PwrmgrDataOKnown_A 135086420 134957116 0 0
RegsTlOAReadyKnown_A 135086420 134957116 0 0
RegsTlODDataKnown_A 135086420 6761178 0 0
RegsTlODDataKnown_AKnownEnable 135086420 134957116 0 0
RegsTlODValidKnown_A 135086420 134957116 0 0
RomTlOAReadyKnown_A 135086420 134957116 0 0
RomTlODDataKnown_A 135086420 7585578 0 0
RomTlODDataKnown_AKnownEnable 135086420 134957116 0 0
RomTlODValidKnown_A 135086420 134957116 0 0
StabilityChkKmac_A 135086420 88846743 0 0
StabilityChkkeymgr_A 135086420 46019697 0 0
TlAccessChk_A 135086420 88936496 0 0
gen_asserts_with_scrambling.FpvSecCmCheckerFsmAlert_A 135086420 90 0 0
gen_asserts_with_scrambling.FpvSecCmCompareAddrCtrCheck_A 135086420 0 0 0
gen_asserts_with_scrambling.FpvSecCmCompareFsmAlert_A 135086420 347 0 0
gen_fsm_scramble_enabled_asserts.BusLocalEscChk_A 135086420 0 0 0


AlertTxOKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 134957116 0 0
T1 380814 380663 0 0
T2 67466 67345 0 0
T3 230266 230127 0 0
T4 17999 17911 0 0
T5 402384 402213 0 0
T6 190624 190530 0 0
T7 827999 827584 0 0
T8 284562 284423 0 0
T9 197526 197367 0 0
T10 353686 351969 0 0

BusRomIndicesMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135077294 134951267 0 0
T1 380814 380663 0 0
T2 67466 67345 0 0
T3 230266 230127 0 0
T4 17999 17911 0 0
T5 402384 402213 0 0
T6 190624 190530 0 0
T7 827999 827584 0 0
T8 284562 284423 0 0
T9 197526 197367 0 0
T10 353529 351912 0 0

FpvSecCmFifoRptrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 0 0 0

FpvSecCmFifoWptrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 90 0 0
T11 166146 0 0 0
T12 199399 0 0 0
T13 530708 0 0 0
T18 23592 20 0 0
T19 166190 0 0 0
T33 161432 10 0 0
T36 0 20 0 0
T37 36854 0 0 0
T38 119757 0 0 0
T39 384951 0 0 0
T40 0 20 0 0
T41 0 20 0 0
T42 207193 0 0 0

KeymgrDataODataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 46020620 0 0
T1 380814 1717 0 0
T2 67466 1793 0 0
T3 230266 920 0 0
T4 17999 1435 0 0
T5 402384 1204 0 0
T6 190624 61 0 0
T7 827999 5745 0 0
T8 284562 1328 0 0
T9 197526 657 0 0
T10 353686 536 0 0

KeymgrDataODataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 134957116 0 0
T1 380814 380663 0 0
T2 67466 67345 0 0
T3 230266 230127 0 0
T4 17999 17911 0 0
T5 402384 402213 0 0
T6 190624 190530 0 0
T7 827999 827584 0 0
T8 284562 284423 0 0
T9 197526 197367 0 0
T10 353686 351969 0 0

KeymgrDataOValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 134957116 0 0
T1 380814 380663 0 0
T2 67466 67345 0 0
T3 230266 230127 0 0
T4 17999 17911 0 0
T5 402384 402213 0 0
T6 190624 190530 0 0
T7 827999 827584 0 0
T8 284562 284423 0 0
T9 197526 197367 0 0
T10 353686 351969 0 0

KeymgrValidChk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 0 0 293

KmacDataODataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 88848545 0 0
T1 380814 378868 0 0
T2 67466 65452 0 0
T3 230266 229068 0 0
T4 17999 16448 0 0
T5 402384 400840 0 0
T6 190624 190438 0 0
T7 827999 821636 0 0
T8 284562 282913 0 0
T9 197526 196637 0 0
T10 353686 350248 0 0

KmacDataODataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 134957116 0 0
T1 380814 380663 0 0
T2 67466 67345 0 0
T3 230266 230127 0 0
T4 17999 17911 0 0
T5 402384 402213 0 0
T6 190624 190530 0 0
T7 827999 827584 0 0
T8 284562 284423 0 0
T9 197526 197367 0 0
T10 353686 351969 0 0

KmacDataOValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 134957116 0 0
T1 380814 380663 0 0
T2 67466 67345 0 0
T3 230266 230127 0 0
T4 17999 17911 0 0
T5 402384 402213 0 0
T6 190624 190530 0 0
T7 827999 827584 0 0
T8 284562 284423 0 0
T9 197526 197367 0 0
T10 353686 351969 0 0

PwrmgrDataChk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 0 0 293

PwrmgrDataOKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 134957116 0 0
T1 380814 380663 0 0
T2 67466 67345 0 0
T3 230266 230127 0 0
T4 17999 17911 0 0
T5 402384 402213 0 0
T6 190624 190530 0 0
T7 827999 827584 0 0
T8 284562 284423 0 0
T9 197526 197367 0 0
T10 353686 351969 0 0

RegsTlOAReadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 134957116 0 0
T1 380814 380663 0 0
T2 67466 67345 0 0
T3 230266 230127 0 0
T4 17999 17911 0 0
T5 402384 402213 0 0
T6 190624 190530 0 0
T7 827999 827584 0 0
T8 284562 284423 0 0
T9 197526 197367 0 0
T10 353686 351969 0 0

RegsTlODDataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 6761178 0 0
T1 380814 32 0 0
T2 67466 161 0 0
T3 230266 32 0 0
T4 17999 0 0 0
T5 402384 85 0 0
T6 190624 34 0 0
T7 827999 425 0 0
T8 284562 32 0 0
T9 197526 32 0 0
T10 353686 20 0 0
T17 0 32 0 0

RegsTlODDataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 134957116 0 0
T1 380814 380663 0 0
T2 67466 67345 0 0
T3 230266 230127 0 0
T4 17999 17911 0 0
T5 402384 402213 0 0
T6 190624 190530 0 0
T7 827999 827584 0 0
T8 284562 284423 0 0
T9 197526 197367 0 0
T10 353686 351969 0 0

RegsTlODValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 134957116 0 0
T1 380814 380663 0 0
T2 67466 67345 0 0
T3 230266 230127 0 0
T4 17999 17911 0 0
T5 402384 402213 0 0
T6 190624 190530 0 0
T7 827999 827584 0 0
T8 284562 284423 0 0
T9 197526 197367 0 0
T10 353686 351969 0 0

RomTlOAReadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 134957116 0 0
T1 380814 380663 0 0
T2 67466 67345 0 0
T3 230266 230127 0 0
T4 17999 17911 0 0
T5 402384 402213 0 0
T6 190624 190530 0 0
T7 827999 827584 0 0
T8 284562 284423 0 0
T9 197526 197367 0 0
T10 353686 351969 0 0

RomTlODDataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 7585578 0 0
T1 380814 68 0 0
T2 67466 306 0 0
T3 230266 62 0 0
T4 17999 334 0 0
T5 402384 374 0 0
T6 190624 0 0 0
T7 827999 244 0 0
T8 284562 448 0 0
T9 197526 59 0 0
T10 353686 6 0 0
T17 0 63 0 0

RomTlODDataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 134957116 0 0
T1 380814 380663 0 0
T2 67466 67345 0 0
T3 230266 230127 0 0
T4 17999 17911 0 0
T5 402384 402213 0 0
T6 190624 190530 0 0
T7 827999 827584 0 0
T8 284562 284423 0 0
T9 197526 197367 0 0
T10 353686 351969 0 0

RomTlODValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 134957116 0 0
T1 380814 380663 0 0
T2 67466 67345 0 0
T3 230266 230127 0 0
T4 17999 17911 0 0
T5 402384 402213 0 0
T6 190624 190530 0 0
T7 827999 827584 0 0
T8 284562 284423 0 0
T9 197526 197367 0 0
T10 353686 351969 0 0

StabilityChkKmac_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 88846743 0 0
T1 380814 378866 0 0
T2 67466 65450 0 0
T3 230266 229066 0 0
T4 17999 16447 0 0
T5 402384 400838 0 0
T6 190624 190437 0 0
T7 827999 821631 0 0
T8 284562 282911 0 0
T9 197526 196635 0 0
T10 353686 350225 0 0

StabilityChkkeymgr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 46019697 0 0
T1 380814 1715 0 0
T2 67466 1791 0 0
T3 230266 918 0 0
T4 17999 1434 0 0
T5 402384 1202 0 0
T6 190624 60 0 0
T7 827999 5741 0 0
T8 284562 1326 0 0
T9 197526 655 0 0
T10 353686 526 0 0

TlAccessChk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 88936496 0 0
T1 380814 378946 0 0
T2 67466 65552 0 0
T3 230266 229207 0 0
T4 17999 16476 0 0
T5 402384 401009 0 0
T6 190624 190469 0 0
T7 827999 821839 0 0
T8 284562 283095 0 0
T9 197526 196710 0 0
T10 353686 351433 0 0

gen_asserts_with_scrambling.FpvSecCmCheckerFsmAlert_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 90 0 0
T11 166146 0 0 0
T12 199399 0 0 0
T13 530708 0 0 0
T18 23592 20 0 0
T19 166190 0 0 0
T33 161432 10 0 0
T36 0 20 0 0
T37 36854 0 0 0
T38 119757 0 0 0
T39 384951 0 0 0
T40 0 20 0 0
T41 0 20 0 0
T42 207193 0 0 0

gen_asserts_with_scrambling.FpvSecCmCompareAddrCtrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 0 0 0

gen_asserts_with_scrambling.FpvSecCmCompareFsmAlert_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 347 0 0
T10 353686 5 0 0
T11 166146 0 0 0
T12 199399 0 0 0
T17 152138 0 0 0
T18 23592 20 0 0
T19 166190 0 0 0
T29 0 15 0 0
T30 0 5 0 0
T31 0 5 0 0
T33 161432 10 0 0
T34 0 15 0 0
T35 0 5 0 0
T37 36854 0 0 0
T38 119757 0 0 0
T42 207193 0 0 0
T43 0 10 0 0
T44 0 21 0 0

gen_fsm_scramble_enabled_asserts.BusLocalEscChk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 135086420 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%