Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : rom_ctrl
SCORELINECONDTOGGLEFSMBRANCHASSERT
94.98 100.00 98.28 97.33 100.00 79.31

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_rom_ctrl_0.1/rtl/rom_ctrl.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut 94.98 100.00 98.28 97.33 100.00 79.31



Module Instance : tb.dut

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
94.98 100.00 98.28 97.33 100.00 79.31


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.52 96.96 93.25 97.88 100.00 99.01 98.04


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_alert_tx[0].u_alert_sender 100.00 100.00
gen_fsm_scramble_enabled.u_checker_fsm 96.36 100.00 97.22 90.00 100.00 100.00 90.91
gen_rom_scramble_enabled.u_rom 97.06 88.24 100.00 100.00 100.00
regs_tlul_assert_device 100.00 100.00 100.00 100.00
rom_ctrl_regs_csr_assert 100.00 100.00
rom_tlul_assert_device 99.30 100.00 100.00 97.90
u_mux 95.24 100.00 85.71 100.00
u_reg_regs 99.72 99.41 99.21 100.00 100.00 100.00
u_tl_adapter_rom 94.24 91.45 84.30 99.07 96.39 100.00
u_tl_rom_h2d_buf 100.00 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : rom_ctrl
Line No.TotalCoveredPercent
TOTAL6565100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN12611100.00
CONT_ASSIGN12711100.00
CONT_ASSIGN12811100.00
CONT_ASSIGN13111100.00
CONT_ASSIGN20711100.00
CONT_ASSIGN25311100.00
CONT_ASSIGN30811100.00
CONT_ASSIGN40911100.00
CONT_ASSIGN40911100.00
CONT_ASSIGN40911100.00
CONT_ASSIGN40911100.00
CONT_ASSIGN40911100.00
CONT_ASSIGN40911100.00
CONT_ASSIGN40911100.00
CONT_ASSIGN40911100.00
CONT_ASSIGN41011100.00
CONT_ASSIGN41011100.00
CONT_ASSIGN41011100.00
CONT_ASSIGN41011100.00
CONT_ASSIGN41011100.00
CONT_ASSIGN41011100.00
CONT_ASSIGN41011100.00
CONT_ASSIGN41011100.00
CONT_ASSIGN41211100.00
CONT_ASSIGN41211100.00
CONT_ASSIGN41211100.00
CONT_ASSIGN41211100.00
CONT_ASSIGN41211100.00
CONT_ASSIGN41211100.00
CONT_ASSIGN41211100.00
CONT_ASSIGN41211100.00
CONT_ASSIGN41311100.00
CONT_ASSIGN41311100.00
CONT_ASSIGN41311100.00
CONT_ASSIGN41311100.00
CONT_ASSIGN41311100.00
CONT_ASSIGN41311100.00
CONT_ASSIGN41311100.00
CONT_ASSIGN41311100.00
CONT_ASSIGN41511100.00
CONT_ASSIGN41511100.00
CONT_ASSIGN41511100.00
CONT_ASSIGN41511100.00
CONT_ASSIGN41511100.00
CONT_ASSIGN41511100.00
CONT_ASSIGN41511100.00
CONT_ASSIGN41511100.00
CONT_ASSIGN41611100.00
CONT_ASSIGN41611100.00
CONT_ASSIGN41611100.00
CONT_ASSIGN41611100.00
CONT_ASSIGN41611100.00
CONT_ASSIGN41611100.00
CONT_ASSIGN41611100.00
CONT_ASSIGN41611100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42211100.00
CONT_ASSIGN42511100.00
CONT_ASSIGN42611100.00
CONT_ASSIGN42711100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN43311100.00
CONT_ASSIGN43711100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rom_ctrl_0.1/rtl/rom_ctrl.sv' or '../src/lowrisc_ip_rom_ctrl_0.1/rtl/rom_ctrl.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
125 1 1
126 1 1
127 1 1
128 1 1
131 1 1
207 1 1
253 1 1
308 1 1
409 8 8
410 8 8
412 8 8
413 8 8
415 8 8
416 8 8
420 1 1
422 1 1
425 1 1
426 1 1
427 1 1
428 1 1
433 1 1
437 1 1


Cond Coverage for Module : rom_ctrl
TotalCoveredPercent
Conditions585798.28
Logical585798.28
Non-Logical00
Event00

 LINE       207
 EXPRESSION (rom_tl_i.a_valid ? rom_tl_i.a_address[2+:RomIndexWidth] : '0)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       253
 EXPRESSION (bus_rom_rvalid_raw & ((!internal_alert)))
             ---------1--------   ---------2---------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT15,T30,T32
11CoveredT1,T2,T3

 LINE       413
 EXPRESSION (exp_digest_de && (0 == exp_digest_idx))
             ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       413
 SUB-EXPRESSION (0 == exp_digest_idx)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       413
 EXPRESSION (exp_digest_de && (1 == exp_digest_idx))
             ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       413
 SUB-EXPRESSION (1 == exp_digest_idx)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       413
 EXPRESSION (exp_digest_de && (2 == exp_digest_idx))
             ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       413
 SUB-EXPRESSION (2 == exp_digest_idx)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       413
 EXPRESSION (exp_digest_de && (3 == exp_digest_idx))
             ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       413
 SUB-EXPRESSION (3 == exp_digest_idx)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       413
 EXPRESSION (exp_digest_de && (4 == exp_digest_idx))
             ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       413
 SUB-EXPRESSION (4 == exp_digest_idx)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       413
 EXPRESSION (exp_digest_de && (5 == exp_digest_idx))
             ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       413
 SUB-EXPRESSION (5 == exp_digest_idx)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       413
 EXPRESSION (exp_digest_de && (6 == exp_digest_idx))
             ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       413
 SUB-EXPRESSION (6 == exp_digest_idx)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       413
 EXPRESSION (exp_digest_de && (7 == exp_digest_idx))
             ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       413
 SUB-EXPRESSION (7 == exp_digest_idx)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION (rom_integrity_error | reg_integrity_error)
             ---------1---------   ---------2---------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT34,T35,T36
10Not Covered

 LINE       422
 EXPRESSION (checker_alert | mux_alert)
             ------1------   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT15,T30,T32
10CoveredT6,T8,T10

 LINE       433
 EXPRESSION (reg2hw.alert_test.q & reg2hw.alert_test.qe)
             ---------1---------   ----------2---------
-1--2-StatusTests
01CoveredT7,T37,T38
10CoveredT1,T3,T5
11CoveredT7,T37,T38

 LINE       437
 EXPRESSION (bus_integrity_error | checker_alert | mux_alert)
             ---------1---------   ------2------   ----3----
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT15,T30,T32
010CoveredT6,T8,T10
100CoveredT34,T35,T36

Toggle Coverage for Module : rom_ctrl
TotalCoveredPercent
Totals 61 56 91.80
Total Bits 2882 2805 97.33
Total Bits 0->1 1441 1402 97.29
Total Bits 1->0 1441 1403 97.36

Ports 61 56 91.80
Port Bits 2882 2805 97.33
Port Bits 0->1 1441 1402 97.29
Port Bits 1->0 1441 1403 97.36

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T1,T3,T5 Yes T1,T2,T3 INPUT
rom_cfg_i.cfg[3:0] No No No INPUT
rom_cfg_i.cfg_en No No No INPUT
rom_tl_i.d_ready Yes Yes T1,T3,T5 Yes T1,T2,T3 INPUT
rom_tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rom_tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rom_tl_i.a_user.instr_type[3:0] Yes Yes T3,T5,T12 Yes T3,T5,T12 INPUT
rom_tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
rom_tl_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rom_tl_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rom_tl_i.a_address[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rom_tl_i.a_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rom_tl_i.a_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rom_tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
rom_tl_i.a_opcode[2:0] Yes Yes T3,T5,T12 Yes T3,T5,T12 INPUT
rom_tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rom_tl_o.a_ready Yes Yes T1,T3,T5 Yes T1,T2,T3 OUTPUT
rom_tl_o.d_error Yes Yes T16,T17,T18 Yes T16,T17,T18 OUTPUT
rom_tl_o.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
rom_tl_o.d_user.rsp_intg[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
rom_tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
rom_tl_o.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
rom_tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
rom_tl_o.d_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
rom_tl_o.d_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
rom_tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
rom_tl_o.d_opcode[0] Yes Yes *T16,*T17,*T18 Yes T16,T17,T18 OUTPUT
rom_tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
rom_tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
regs_tl_i.d_ready Yes Yes T1,T3,T5 Yes T1,T2,T3 INPUT
regs_tl_i.a_user.data_intg[6:0] Yes Yes T1,T3,T5 Yes T1,T3,T5 INPUT
regs_tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T3,T5 Yes T1,T3,T5 INPUT
regs_tl_i.a_user.instr_type[3:0] Yes Yes T5,T7,T9 Yes T5,T7,T9 INPUT
regs_tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
regs_tl_i.a_data[31:0] Yes Yes T1,T3,T5 Yes T1,T3,T5 INPUT
regs_tl_i.a_mask[3:0] Yes Yes T1,T3,T5 Yes T1,T3,T5 INPUT
regs_tl_i.a_address[31:0] Yes Yes T1,T3,T5 Yes T1,T3,T5 INPUT
regs_tl_i.a_source[7:0] Yes Yes T1,T3,T5 Yes T1,T3,T5 INPUT
regs_tl_i.a_size[1:0] Yes Yes T1,T3,T5 Yes T1,T3,T5 INPUT
regs_tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
regs_tl_i.a_opcode[2:0] Yes Yes T5,T7,T9 Yes T5,T7,T9 INPUT
regs_tl_i.a_valid Yes Yes T1,T3,T5 Yes T1,T3,T5 INPUT
regs_tl_o.a_ready Yes Yes T1,T3,T5 Yes T1,T3,T5 OUTPUT
regs_tl_o.d_error Yes Yes T16,T17,T18 Yes T16,T17,T18 OUTPUT
regs_tl_o.d_user.data_intg[6:0] Yes Yes T1,T3,T5 Yes T1,T3,T5 OUTPUT
regs_tl_o.d_user.rsp_intg[5:0] Yes Yes *T1,*T3,*T5 Yes T1,T3,T5 OUTPUT
regs_tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
regs_tl_o.d_data[31:0] Yes Yes T1,T3,T5 Yes T1,T3,T5 OUTPUT
regs_tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
regs_tl_o.d_source[7:0] Yes Yes T1,T3,T5 Yes T1,T3,T5 OUTPUT
regs_tl_o.d_size[1:0] Yes Yes T1,T3,T5 Yes T1,T3,T5 OUTPUT
regs_tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
regs_tl_o.d_opcode[0] Yes Yes *T1,*T3,*T5 Yes T1,T3,T5 OUTPUT
regs_tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
regs_tl_o.d_valid Yes Yes T1,T3,T5 Yes T1,T3,T5 OUTPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T6,T7,T8 Yes T6,T7,T8 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T6,T7,T8 Yes T6,T7,T8 OUTPUT
pwrmgr_data_o.good[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
pwrmgr_data_o.done[3:0] Yes Yes T1,T2,T3 Yes T1,T3,T5 OUTPUT
keymgr_data_o.valid Yes Yes T1,T3,T5 Yes T1,T2,T3 OUTPUT
keymgr_data_o.data[255:0] Yes Yes T1,T3,T5 Yes T1,T3,T5 OUTPUT
kmac_data_i.error No Yes T6,T8,T10 No INPUT
kmac_data_i.digest_share1[383:0] Yes Yes T1,T3,T8 Yes T1,T3,T9 INPUT
kmac_data_i.digest_share0[383:0] Yes Yes T3,T5,T8 Yes T1,T9,T10 INPUT
kmac_data_i.done Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
kmac_data_i.ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
kmac_data_o.last Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
kmac_data_o.strb[7:0] No No No OUTPUT
kmac_data_o.data[38:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
kmac_data_o.data[63:39] No No No OUTPUT
kmac_data_o.valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Module : rom_ctrl
Line No.TotalCoveredPercent
Branches 2 2 100.00
TERNARY 207 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rom_ctrl_0.1/rtl/rom_ctrl.sv' or '../src/lowrisc_ip_rom_ctrl_0.1/rtl/rom_ctrl.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 207 (rom_tl_i.a_valid) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Module : rom_ctrl
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 29 29 100.00 23 79.31
Cover properties 0 0 0
Cover sequences 0 0 0
Total 29 29 100.00 23 79.31




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertTxOKnown_A 343873314 343539893 0 0
BusRomIndicesMatch_A 343846263 343525220 0 0
FpvSecCmFifoRptrCheck_A 343873314 0 0 0
FpvSecCmFifoWptrCheck_A 343873314 0 0 0
FpvSecCmRegWeOnehotCheck_A 343873314 130 0 0
KeymgrDataODataKnown_A 343873314 82248627 0 0
KeymgrDataODataKnown_AKnownEnable 343873314 343539893 0 0
KeymgrDataOValidKnown_A 343873314 343539893 0 0
KeymgrValidChk_A 343873314 0 0 632
KmacDataODataKnown_A 343873314 261047129 0 0
KmacDataODataKnown_AKnownEnable 343873314 343539893 0 0
KmacDataOValidKnown_A 343873314 343539893 0 0
PwrmgrDataChk_A 343873314 0 0 632
PwrmgrDataOKnown_A 343873314 343539893 0 0
RegsTlOAReadyKnown_A 343873314 343539893 0 0
RegsTlODDataKnown_A 343873314 10950446 0 0
RegsTlODDataKnown_AKnownEnable 343873314 343539893 0 0
RegsTlODValidKnown_A 343873314 343539893 0 0
RomTlOAReadyKnown_A 343873314 343539893 0 0
RomTlODDataKnown_A 343873314 16873389 0 0
RomTlODDataKnown_AKnownEnable 343873314 343539893 0 0
RomTlODValidKnown_A 343873314 343539893 0 0
StabilityChkKmac_A 343873314 261042562 0 0
StabilityChkkeymgr_A 343873314 82246416 0 0
TlAccessChk_A 343873314 261291266 0 0
gen_asserts_with_scrambling.FpvSecCmCheckerFsmAlert_A 343873314 130 0 0
gen_asserts_with_scrambling.FpvSecCmCompareAddrCtrCheck_A 343873314 0 0 0
gen_asserts_with_scrambling.FpvSecCmCompareFsmAlert_A 343873314 942 0 0
gen_fsm_scramble_enabled_asserts.BusLocalEscChk_A 343873314 0 0 0


AlertTxOKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 343539893 0 0
T1 35486 35172 0 0
T2 57693 57611 0 0
T3 498372 497940 0 0
T4 78752 78652 0 0
T5 287829 287662 0 0
T6 376213 376089 0 0
T7 85553 85471 0 0
T8 326446 326248 0 0
T9 158129 157961 0 0
T10 16674 16542 0 0

BusRomIndicesMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343846263 343525220 0 0
T1 35486 35172 0 0
T2 57693 57611 0 0
T3 498372 497940 0 0
T4 78752 78652 0 0
T5 287829 287662 0 0
T6 376213 376089 0 0
T7 85553 85471 0 0
T8 326446 326248 0 0
T9 158129 157961 0 0
T10 16674 16542 0 0

FpvSecCmFifoRptrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 0 0 0

FpvSecCmFifoWptrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 130 0 0
T23 16863 0 0 0
T34 129113 10 0 0
T35 0 10 0 0
T36 0 20 0 0
T39 0 10 0 0
T40 0 20 0 0
T41 0 10 0 0
T42 0 20 0 0
T43 0 10 0 0
T44 0 10 0 0
T45 0 10 0 0
T46 289178 0 0 0
T47 181117 0 0 0
T48 323066 0 0 0
T49 209153 0 0 0
T50 118056 0 0 0
T51 18950 0 0 0
T52 56947 0 0 0
T53 287370 0 0 0

KeymgrDataODataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 82248627 0 0
T1 35486 2344 0 0
T2 57693 723 0 0
T3 498372 6592 0 0
T4 78752 907 0 0
T5 287829 1789 0 0
T6 376213 76 0 0
T7 85553 64 0 0
T8 326446 139 0 0
T9 158129 1401 0 0
T10 16674 120 0 0

KeymgrDataODataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 343539893 0 0
T1 35486 35172 0 0
T2 57693 57611 0 0
T3 498372 497940 0 0
T4 78752 78652 0 0
T5 287829 287662 0 0
T6 376213 376089 0 0
T7 85553 85471 0 0
T8 326446 326248 0 0
T9 158129 157961 0 0
T10 16674 16542 0 0

KeymgrDataOValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 343539893 0 0
T1 35486 35172 0 0
T2 57693 57611 0 0
T3 498372 497940 0 0
T4 78752 78652 0 0
T5 287829 287662 0 0
T6 376213 376089 0 0
T7 85553 85471 0 0
T8 326446 326248 0 0
T9 158129 157961 0 0
T10 16674 16542 0 0

KeymgrValidChk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 0 0 632

KmacDataODataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 261047129 0 0
T1 35486 32742 0 0
T2 57693 56788 0 0
T3 498372 490998 0 0
T4 78752 77653 0 0
T5 287829 285731 0 0
T6 376213 375788 0 0
T7 85553 85357 0 0
T8 326446 325916 0 0
T9 158129 156368 0 0
T10 16674 16368 0 0

KmacDataODataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 343539893 0 0
T1 35486 35172 0 0
T2 57693 57611 0 0
T3 498372 497940 0 0
T4 78752 78652 0 0
T5 287829 287662 0 0
T6 376213 376089 0 0
T7 85553 85471 0 0
T8 326446 326248 0 0
T9 158129 157961 0 0
T10 16674 16542 0 0

KmacDataOValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 343539893 0 0
T1 35486 35172 0 0
T2 57693 57611 0 0
T3 498372 497940 0 0
T4 78752 78652 0 0
T5 287829 287662 0 0
T6 376213 376089 0 0
T7 85553 85471 0 0
T8 326446 326248 0 0
T9 158129 157961 0 0
T10 16674 16542 0 0

PwrmgrDataChk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 0 0 632

PwrmgrDataOKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 343539893 0 0
T1 35486 35172 0 0
T2 57693 57611 0 0
T3 498372 497940 0 0
T4 78752 78652 0 0
T5 287829 287662 0 0
T6 376213 376089 0 0
T7 85553 85471 0 0
T8 326446 326248 0 0
T9 158129 157961 0 0
T10 16674 16542 0 0

RegsTlOAReadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 343539893 0 0
T1 35486 35172 0 0
T2 57693 57611 0 0
T3 498372 497940 0 0
T4 78752 78652 0 0
T5 287829 287662 0 0
T6 376213 376089 0 0
T7 85553 85471 0 0
T8 326446 326248 0 0
T9 158129 157961 0 0
T10 16674 16542 0 0

RegsTlODDataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 10950446 0 0
T1 35486 64 0 0
T2 57693 0 0 0
T3 498372 560 0 0
T4 78752 0 0 0
T5 287829 32 0 0
T6 376213 1 0 0
T7 85553 5 0 0
T8 326446 1 0 0
T9 158129 115 0 0
T10 16674 1 0 0
T12 0 96 0 0
T13 0 32 0 0

RegsTlODDataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 343539893 0 0
T1 35486 35172 0 0
T2 57693 57611 0 0
T3 498372 497940 0 0
T4 78752 78652 0 0
T5 287829 287662 0 0
T6 376213 376089 0 0
T7 85553 85471 0 0
T8 326446 326248 0 0
T9 158129 157961 0 0
T10 16674 16542 0 0

RegsTlODValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 343539893 0 0
T1 35486 35172 0 0
T2 57693 57611 0 0
T3 498372 497940 0 0
T4 78752 78652 0 0
T5 287829 287662 0 0
T6 376213 376089 0 0
T7 85553 85471 0 0
T8 326446 326248 0 0
T9 158129 157961 0 0
T10 16674 16542 0 0

RomTlOAReadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 343539893 0 0
T1 35486 35172 0 0
T2 57693 57611 0 0
T3 498372 497940 0 0
T4 78752 78652 0 0
T5 287829 287662 0 0
T6 376213 376089 0 0
T7 85553 85471 0 0
T8 326446 326248 0 0
T9 158129 157961 0 0
T10 16674 16542 0 0

RomTlODDataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 16873389 0 0
T1 35486 137 0 0
T2 57693 151 0 0
T3 498372 286 0 0
T4 78752 225 0 0
T5 287829 231 0 0
T6 376213 0 0 0
T7 85553 0 0 0
T8 326446 0 0 0
T9 158129 358 0 0
T10 16674 0 0 0
T12 0 195 0 0
T13 0 61 0 0
T14 0 194 0 0
T15 0 3 0 0

RomTlODDataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 343539893 0 0
T1 35486 35172 0 0
T2 57693 57611 0 0
T3 498372 497940 0 0
T4 78752 78652 0 0
T5 287829 287662 0 0
T6 376213 376089 0 0
T7 85553 85471 0 0
T8 326446 326248 0 0
T9 158129 157961 0 0
T10 16674 16542 0 0

RomTlODValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 343539893 0 0
T1 35486 35172 0 0
T2 57693 57611 0 0
T3 498372 497940 0 0
T4 78752 78652 0 0
T5 287829 287662 0 0
T6 376213 376089 0 0
T7 85553 85471 0 0
T8 326446 326248 0 0
T9 158129 157961 0 0
T10 16674 16542 0 0

StabilityChkKmac_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 261042562 0 0
T1 35486 32737 0 0
T2 57693 56787 0 0
T3 498372 490993 0 0
T4 78752 77652 0 0
T5 287829 285729 0 0
T6 376213 375786 0 0
T7 85553 85356 0 0
T8 326446 325914 0 0
T9 158129 156366 0 0
T10 16674 16366 0 0

StabilityChkkeymgr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 82246416 0 0
T1 35486 2340 0 0
T2 57693 722 0 0
T3 498372 6587 0 0
T4 78752 906 0 0
T5 287829 1787 0 0
T6 376213 75 0 0
T7 85553 63 0 0
T8 326446 138 0 0
T9 158129 1399 0 0
T10 16674 119 0 0

TlAccessChk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 261291266 0 0
T1 35486 32828 0 0
T2 57693 56888 0 0
T3 498372 491348 0 0
T4 78752 77745 0 0
T5 287829 285873 0 0
T6 376213 376013 0 0
T7 85553 85407 0 0
T8 326446 326109 0 0
T9 158129 156560 0 0
T10 16674 16422 0 0

gen_asserts_with_scrambling.FpvSecCmCheckerFsmAlert_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 130 0 0
T23 16863 0 0 0
T34 129113 10 0 0
T35 0 10 0 0
T36 0 20 0 0
T39 0 10 0 0
T40 0 20 0 0
T41 0 10 0 0
T42 0 20 0 0
T43 0 10 0 0
T44 0 10 0 0
T45 0 10 0 0
T46 289178 0 0 0
T47 181117 0 0 0
T48 323066 0 0 0
T49 209153 0 0 0
T50 118056 0 0 0
T51 18950 0 0 0
T52 56947 0 0 0
T53 287370 0 0 0

gen_asserts_with_scrambling.FpvSecCmCompareAddrCtrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 0 0 0

gen_asserts_with_scrambling.FpvSecCmCompareFsmAlert_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 942 0 0
T17 273051 0 0 0
T18 237751 0 0 0
T24 0 5 0 0
T30 113538 10 0 0
T32 460355 25 0 0
T33 0 11 0 0
T34 0 10 0 0
T38 148937 0 0 0
T48 0 10 0 0
T54 0 5 0 0
T55 0 16 0 0
T56 0 16 0 0
T57 0 10 0 0
T58 18405 0 0 0
T59 163694 0 0 0
T60 111645 0 0 0
T61 123862 0 0 0
T62 203928 0 0 0

gen_fsm_scramble_enabled_asserts.BusLocalEscChk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 343873314 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%