SPI_DEVICE Simulation Results

Tuesday May 16 2023 07:02:31 UTC

GitHub Revision: 50278df8b

Branch: os_regression

Testplan

Simulator: VCS

Build randomization enabled with --build-seed 1341560578

Test Results

Stage Name Tests Max Job Runtime Simulated Time Passing Total Pass Rate
V1 smoke spi_device_smoke 1.300s 72.693us 50 50 100.00
V1 csr_hw_reset spi_device_csr_hw_reset 1.540s 54.478us 5 5 100.00
V1 csr_rw spi_device_csr_rw 3.070s 130.465us 20 20 100.00
V1 csr_bit_bash spi_device_csr_bit_bash 35.010s 1.176ms 5 5 100.00
V1 csr_aliasing spi_device_csr_aliasing 19.180s 908.710us 5 5 100.00
V1 csr_mem_rw_with_rand_reset spi_device_csr_mem_rw_with_rand_reset 2.590s 43.125us 20 20 100.00
V1 regwen_csr_and_corresponding_lockable_csr spi_device_csr_rw 3.070s 130.465us 20 20 100.00
spi_device_csr_aliasing 19.180s 908.710us 5 5 100.00
V1 mem_walk spi_device_mem_walk 13.820s 688.998us 5 5 100.00
V1 mem_partial_access spi_device_mem_partial_access 6.990s 402.309us 5 5 100.00
V1 TOTAL 115 115 100.00
V2 base_random_seq spi_device_txrx 29.920m 345.783ms 50 50 100.00
V2 fifo_full spi_device_fifo_full 56.017m 57.069ms 50 50 100.00
V2 fifo_underflow_overflow spi_device_fifo_underflow_overflow 36.583m 326.734ms 48 50 96.00
V2 dummy_sck_and_dummy_csb spi_device_dummy_item_extra_dly 48.460m 452.359ms 50 50 100.00
V2 extra_delay_on_spi spi_device_dummy_item_extra_dly 48.460m 452.359ms 50 50 100.00
V2 tx_async_fifo_reset spi_device_tx_async_fifo_reset 0.800s 50.496us 50 50 100.00
V2 rx_async_fifo_reset spi_device_rx_async_fifo_reset 0.970s 53.219us 50 50 100.00
V2 interrupts spi_device_intr 1.530m 40.967ms 49 50 98.00
V2 abort spi_device_abort 0.800s 13.926us 50 50 100.00
V2 byte_transfer_on_spi spi_device_byte_transfer 3.500s 285.607us 50 50 100.00
V2 rx_timeout spi_device_rx_timeout 7.490s 1.044ms 50 50 100.00
V2 bit_transfer_on_spi spi_device_bit_transfer 3.470s 400.454us 50 50 100.00
V2 extreme_fifo_setting spi_device_extreme_fifo_size 1.104h 325.562ms 50 50 100.00
V2 perf spi_device_perf 55.939m 238.752ms 50 50 100.00
V2 csb_read spi_device_csb_read 0.840s 40.754us 50 50 100.00
V2 mem_parity spi_device_mem_parity 1.110s 117.155us 20 20 100.00
V2 mem_cfg spi_device_ram_cfg 0.860s 43.325us 20 20 100.00
V2 tpm_read spi_device_tpm_rw 10.190s 1.239ms 50 50 100.00
V2 tpm_write spi_device_tpm_rw 10.190s 1.239ms 50 50 100.00
V2 tpm_hw_reg spi_device_tpm_read_hw_reg 37.100s 15.814ms 50 50 100.00
spi_device_tpm_sts_read 1.220s 151.720us 50 50 100.00
V2 tpm_fully_random_case spi_device_tpm_all 4.507m 118.982ms 50 50 100.00
V2 pass_cmd_filtering spi_device_pass_cmd_filtering 41.330s 15.973ms 50 50 100.00
spi_device_flash_all 5.089m 266.291ms 50 50 100.00
V2 pass_addr_translation spi_device_pass_addr_payload_swap 45.840s 16.857ms 50 50 100.00
spi_device_flash_all 5.089m 266.291ms 50 50 100.00
V2 pass_payload_translation spi_device_pass_addr_payload_swap 45.840s 16.857ms 50 50 100.00
spi_device_flash_all 5.089m 266.291ms 50 50 100.00
V2 cmd_info_slots spi_device_flash_all 5.089m 266.291ms 50 50 100.00
V2 cmd_read_status spi_device_intercept 14.140s 4.741ms 50 50 100.00
spi_device_flash_all 5.089m 266.291ms 50 50 100.00
V2 cmd_read_jedec spi_device_intercept 14.140s 4.741ms 50 50 100.00
spi_device_flash_all 5.089m 266.291ms 50 50 100.00
V2 cmd_read_sfdp spi_device_intercept 14.140s 4.741ms 50 50 100.00
spi_device_flash_all 5.089m 266.291ms 50 50 100.00
V2 cmd_fast_read spi_device_intercept 14.140s 4.741ms 50 50 100.00
spi_device_flash_all 5.089m 266.291ms 50 50 100.00
V2 flash_cmd_upload spi_device_upload 41.400s 10.608ms 50 50 100.00
V2 mailbox_command spi_device_mailbox 54.890s 20.815ms 50 50 100.00
V2 mailbox_cross_outside_command spi_device_mailbox 54.890s 20.815ms 50 50 100.00
V2 mailbox_cross_inside_command spi_device_mailbox 54.890s 20.815ms 50 50 100.00
V2 cmd_read_buffer spi_device_flash_mode 1.109m 48.153ms 49 50 98.00
spi_device_read_buffer_direct 8.220s 1.815ms 50 50 100.00
V2 cmd_dummy_cycle spi_device_mailbox 54.890s 20.815ms 50 50 100.00
spi_device_flash_all 5.089m 266.291ms 50 50 100.00
V2 quad_spi spi_device_flash_all 5.089m 266.291ms 50 50 100.00
V2 dual_spi spi_device_flash_all 5.089m 266.291ms 50 50 100.00
V2 4b_3b_feature spi_device_cfg_cmd 12.490s 9.942ms 50 50 100.00
V2 write_enable_disable spi_device_cfg_cmd 12.490s 9.942ms 50 50 100.00
V2 TPM_with_flash_or_passthrough_mode spi_device_flash_and_tpm 9.974m 102.092ms 48 50 96.00
V2 tpm_and_flash_trans_with_min_inactive_time spi_device_flash_and_tpm_min_idle 8.517m 132.636ms 47 50 94.00
V2 stress_all spi_device_stress_all 1.069h 191.006ms 19 50 38.00
V2 alert_test spi_device_alert_test 0.790s 124.392us 50 50 100.00
V2 intr_test spi_device_intr_test 0.800s 12.959us 50 50 100.00
V2 tl_d_oob_addr_access spi_device_tl_errors 6.910s 475.508us 20 20 100.00
V2 tl_d_illegal_access spi_device_tl_errors 6.910s 475.508us 20 20 100.00
V2 tl_d_outstanding_access spi_device_csr_hw_reset 1.540s 54.478us 5 5 100.00
spi_device_csr_rw 3.070s 130.465us 20 20 100.00
spi_device_csr_aliasing 19.180s 908.710us 5 5 100.00
spi_device_same_csr_outstanding 4.280s 65.168us 20 20 100.00
V2 tl_d_partial_access spi_device_csr_hw_reset 1.540s 54.478us 5 5 100.00
spi_device_csr_rw 3.070s 130.465us 20 20 100.00
spi_device_csr_aliasing 19.180s 908.710us 5 5 100.00
spi_device_same_csr_outstanding 4.280s 65.168us 20 20 100.00
V2 TOTAL 1640 1680 97.62
V2S tl_intg_err spi_device_sec_cm 1.450s 268.614us 5 5 100.00
spi_device_tl_intg_err 22.430s 2.099ms 20 20 100.00
V2S sec_cm_bus_integrity spi_device_tl_intg_err 22.430s 2.099ms 20 20 100.00
V2S TOTAL 25 25 100.00
V3 stress_all_with_rand_reset spi_device_stress_all_with_rand_reset 0 0 --
V3 TOTAL 0 0 --
TOTAL 1780 1820 97.80

Testplan Progress

Items Total Written Passing Progress
V1 8 8 8 100.00
V2 36 36 30 83.33
V2S 2 2 2 100.00
V3 1 0 0 0.00

Coverage Results

Coverage Dashboard

SCORE LINE COND TOGGLE FSM BRANCH ASSERT GROUP
96.94 99.01 96.23 98.63 92.06 97.95 96.16 98.54

Failure Buckets

Past Results