Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.u_spid_dpram.gen_ram1r1w.u_sys2spi_mem.u_mem.gen_generic.u_impl_generic

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
u_mem


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.u_spid_dpram.gen_ram1r1w.u_spi2sys_mem.u_mem.gen_generic.u_impl_generic

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
u_mem


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children

Line Coverage for Module : prim_generic_ram_1r1w
Line No.TotalCoveredPercent
TOTAL1111100.00
CONT_ASSIGN4511100.00
CONT_ASSIGN5411100.00
CONT_ASSIGN5411100.00
CONT_ASSIGN5411100.00
CONT_ASSIGN5411100.00
ALWAYS6644100.00
ALWAYS7722100.00

44 logic unused_cfg; 45 1/1 assign unused_cfg = ^cfg_i; Tests: T3  46 47 // Width of internal write mask. Note *_wmask_i input into the module is always assumed 48 // to be the full bit mask. 49 localparam int MaskWidth = Width / DataBitsPerMask; 50 51 logic [Width-1:0] mem [Depth]; 52 logic [MaskWidth-1:0] a_wmask; 53 for (genvar k = 0; k < MaskWidth; k++) begin : gen_wmask 54 4/4 assign a_wmask[k] = &a_wmask_i[k*DataBitsPerMask +: DataBitsPerMask]; Tests: T1 T2 T3  | T1 T2 T3  | T1 T2 T3  | T1 T2 T3  55 56 // Ensure that all mask bits within a group have the same value for a write 57 `ASSERT(MaskCheckPortA_A, a_req_i |-> 58 a_wmask_i[k*DataBitsPerMask +: DataBitsPerMask] inside {{DataBitsPerMask{1'b1}}, '0}, 59 clk_a_i, '0) 60 end 61 62 // Xilinx FPGA specific Two-port RAM coding style 63 // using always instead of always_ff to avoid 'ICPD - illegal combination of drivers' error 64 // thrown due to 'mem' being driven by two always processes below 65 always @(posedge clk_a_i) begin 66 1/1 if (a_req_i) begin Tests: T1 T2 T3  67 1/1 for (int i=0; i < MaskWidth; i = i + 1) begin Tests: T6 T7 T8  68 1/1 if (a_wmask[i]) begin Tests: T6 T7 T8  69 1/1 mem[a_addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= Tests: T6 T7 T8  70 a_wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 71 end MISSING_ELSE 72 end 73 end MISSING_ELSE 74 end 75 76 always @(posedge clk_b_i) begin 77 1/1 if (b_req_i) begin Tests: T1 T2 T3  78 1/1 b_rdata_o <= mem[b_addr_i]; Tests: T6 T7 T8  79 end MISSING_ELSE

Branch Coverage for Module : prim_generic_ram_1r1w
Line No.TotalCoveredPercent
Branches 4 4 100.00
IF 66 2 2 100.00
IF 77 2 2 100.00


66 if (a_req_i) begin -1- 67 for (int i=0; i < MaskWidth; i = i + 1) begin ==> 68 if (a_wmask[i]) begin 69 mem[a_addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= 70 a_wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 71 end 72 end 73 end MISSING_ELSE ==>

Branches:
-1-StatusTests
1 Covered T6,T7,T8
0 Covered T1,T2,T3


77 if (b_req_i) begin -1- 78 b_rdata_o <= mem[b_addr_i]; ==> 79 end MISSING_ELSE ==>

Branches:
-1-StatusTests
1 Covered T6,T7,T8
0 Covered T1,T2,T3


Assert Coverage for Module : prim_generic_ram_1r1w
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 4 4 100.00 4 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 4 4 100.00 4 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
gen_wmask[0].MaskCheckPortA_A 615722373 3406561 0 0
gen_wmask[1].MaskCheckPortA_A 615722373 3406561 0 0
gen_wmask[2].MaskCheckPortA_A 615722373 3406561 0 0
gen_wmask[3].MaskCheckPortA_A 615722373 3406561 0 0


gen_wmask[0].MaskCheckPortA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 615722373 3406561 0 0
T6 8773 85 0 0
T7 23715 832 0 0
T8 15201 832 0 0
T9 4002 832 0 0
T10 291965 2523 0 0
T11 292913 832 0 0
T12 119473 832 0 0
T13 38747 832 0 0
T14 508367 832 0 0
T15 4263 0 0 0
T16 14094 832 0 0
T22 0 2484 0 0
T25 0 302 0 0
T27 0 41 0 0
T39 0 11092 0 0
T40 0 1848 0 0
T41 0 133 0 0
T52 0 8 0 0
T55 0 4 0 0

gen_wmask[1].MaskCheckPortA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 615722373 3406561 0 0
T6 8773 85 0 0
T7 23715 832 0 0
T8 15201 832 0 0
T9 4002 832 0 0
T10 291965 2523 0 0
T11 292913 832 0 0
T12 119473 832 0 0
T13 38747 832 0 0
T14 508367 832 0 0
T15 4263 0 0 0
T16 14094 832 0 0
T22 0 2484 0 0
T25 0 302 0 0
T27 0 41 0 0
T39 0 11092 0 0
T40 0 1848 0 0
T41 0 133 0 0
T52 0 8 0 0
T55 0 4 0 0

gen_wmask[2].MaskCheckPortA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 615722373 3406561 0 0
T6 8773 85 0 0
T7 23715 832 0 0
T8 15201 832 0 0
T9 4002 832 0 0
T10 291965 2523 0 0
T11 292913 832 0 0
T12 119473 832 0 0
T13 38747 832 0 0
T14 508367 832 0 0
T15 4263 0 0 0
T16 14094 832 0 0
T22 0 2484 0 0
T25 0 302 0 0
T27 0 41 0 0
T39 0 11092 0 0
T40 0 1848 0 0
T41 0 133 0 0
T52 0 8 0 0
T55 0 4 0 0

gen_wmask[3].MaskCheckPortA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 615722373 3406561 0 0
T6 8773 85 0 0
T7 23715 832 0 0
T8 15201 832 0 0
T9 4002 832 0 0
T10 291965 2523 0 0
T11 292913 832 0 0
T12 119473 832 0 0
T13 38747 832 0 0
T14 508367 832 0 0
T15 4263 0 0 0
T16 14094 832 0 0
T22 0 2484 0 0
T25 0 302 0 0
T27 0 41 0 0
T39 0 11092 0 0
T40 0 1848 0 0
T41 0 133 0 0
T52 0 8 0 0
T55 0 4 0 0

Line Coverage for Instance : tb.dut.u_spid_dpram.gen_ram1r1w.u_sys2spi_mem.u_mem.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
TOTAL1111100.00
CONT_ASSIGN4511100.00
CONT_ASSIGN5411100.00
CONT_ASSIGN5411100.00
CONT_ASSIGN5411100.00
CONT_ASSIGN5411100.00
ALWAYS6644100.00
ALWAYS7722100.00

44 logic unused_cfg; 45 1/1 assign unused_cfg = ^cfg_i; Tests: T3  46 47 // Width of internal write mask. Note *_wmask_i input into the module is always assumed 48 // to be the full bit mask. 49 localparam int MaskWidth = Width / DataBitsPerMask; 50 51 logic [Width-1:0] mem [Depth]; 52 logic [MaskWidth-1:0] a_wmask; 53 for (genvar k = 0; k < MaskWidth; k++) begin : gen_wmask 54 4/4 assign a_wmask[k] = &a_wmask_i[k*DataBitsPerMask +: DataBitsPerMask]; Tests: T1 T2 T3  | T1 T2 T3  | T1 T2 T3  | T1 T2 T3  55 56 // Ensure that all mask bits within a group have the same value for a write 57 `ASSERT(MaskCheckPortA_A, a_req_i |-> 58 a_wmask_i[k*DataBitsPerMask +: DataBitsPerMask] inside {{DataBitsPerMask{1'b1}}, '0}, 59 clk_a_i, '0) 60 end 61 62 // Xilinx FPGA specific Two-port RAM coding style 63 // using always instead of always_ff to avoid 'ICPD - illegal combination of drivers' error 64 // thrown due to 'mem' being driven by two always processes below 65 always @(posedge clk_a_i) begin 66 1/1 if (a_req_i) begin Tests: T1 T2 T3  67 1/1 for (int i=0; i < MaskWidth; i = i + 1) begin Tests: T6 T7 T8  68 1/1 if (a_wmask[i]) begin Tests: T6 T7 T8  69 1/1 mem[a_addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= Tests: T6 T7 T8  70 a_wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 71 end ==> MISSING_ELSE 72 end 73 end MISSING_ELSE 74 end 75 76 always @(posedge clk_b_i) begin 77 1/1 if (b_req_i) begin Tests: T1 T4 T5  78 1/1 b_rdata_o <= mem[b_addr_i]; Tests: T6 T7 T8  79 end MISSING_ELSE

Branch Coverage for Instance : tb.dut.u_spid_dpram.gen_ram1r1w.u_sys2spi_mem.u_mem.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
Branches 4 4 100.00
IF 66 2 2 100.00
IF 77 2 2 100.00


66 if (a_req_i) begin -1- 67 for (int i=0; i < MaskWidth; i = i + 1) begin ==> 68 if (a_wmask[i]) begin 69 mem[a_addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= 70 a_wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 71 end 72 end 73 end MISSING_ELSE ==>

Branches:
-1-StatusTests
1 Covered T6,T7,T8
0 Covered T1,T2,T3


77 if (b_req_i) begin -1- 78 b_rdata_o <= mem[b_addr_i]; ==> 79 end MISSING_ELSE ==>

Branches:
-1-StatusTests
1 Covered T6,T7,T8
0 Covered T1,T4,T5


Assert Coverage for Instance : tb.dut.u_spid_dpram.gen_ram1r1w.u_sys2spi_mem.u_mem.gen_generic.u_impl_generic
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 4 4 100.00 4 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 4 4 100.00 4 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
gen_wmask[0].MaskCheckPortA_A 463294267 2102578 0 0
gen_wmask[1].MaskCheckPortA_A 463294267 2102578 0 0
gen_wmask[2].MaskCheckPortA_A 463294267 2102578 0 0
gen_wmask[3].MaskCheckPortA_A 463294267 2102578 0 0


gen_wmask[0].MaskCheckPortA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 463294267 2102578 0 0
T6 7293 20 0 0
T7 10105 832 0 0
T8 10913 832 0 0
T9 3730 832 0 0
T10 83260 738 0 0
T11 251431 832 0 0
T12 61473 832 0 0
T13 15612 832 0 0
T14 445458 832 0 0
T15 4263 0 0 0
T16 0 832 0 0

gen_wmask[1].MaskCheckPortA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 463294267 2102578 0 0
T6 7293 20 0 0
T7 10105 832 0 0
T8 10913 832 0 0
T9 3730 832 0 0
T10 83260 738 0 0
T11 251431 832 0 0
T12 61473 832 0 0
T13 15612 832 0 0
T14 445458 832 0 0
T15 4263 0 0 0
T16 0 832 0 0

gen_wmask[2].MaskCheckPortA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 463294267 2102578 0 0
T6 7293 20 0 0
T7 10105 832 0 0
T8 10913 832 0 0
T9 3730 832 0 0
T10 83260 738 0 0
T11 251431 832 0 0
T12 61473 832 0 0
T13 15612 832 0 0
T14 445458 832 0 0
T15 4263 0 0 0
T16 0 832 0 0

gen_wmask[3].MaskCheckPortA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 463294267 2102578 0 0
T6 7293 20 0 0
T7 10105 832 0 0
T8 10913 832 0 0
T9 3730 832 0 0
T10 83260 738 0 0
T11 251431 832 0 0
T12 61473 832 0 0
T13 15612 832 0 0
T14 445458 832 0 0
T15 4263 0 0 0
T16 0 832 0 0

Line Coverage for Instance : tb.dut.u_spid_dpram.gen_ram1r1w.u_spi2sys_mem.u_mem.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
TOTAL1111100.00
CONT_ASSIGN4511100.00
CONT_ASSIGN5411100.00
CONT_ASSIGN5411100.00
CONT_ASSIGN5411100.00
CONT_ASSIGN5411100.00
ALWAYS6644100.00
ALWAYS7722100.00

44 logic unused_cfg; 45 1/1 assign unused_cfg = ^cfg_i; Tests: T3  46 47 // Width of internal write mask. Note *_wmask_i input into the module is always assumed 48 // to be the full bit mask. 49 localparam int MaskWidth = Width / DataBitsPerMask; 50 51 logic [Width-1:0] mem [Depth]; 52 logic [MaskWidth-1:0] a_wmask; 53 for (genvar k = 0; k < MaskWidth; k++) begin : gen_wmask 54 4/4 assign a_wmask[k] = &a_wmask_i[k*DataBitsPerMask +: DataBitsPerMask]; Tests: T1 T2 T3  | T1 T2 T3  | T1 T2 T3  | T1 T2 T3  55 56 // Ensure that all mask bits within a group have the same value for a write 57 `ASSERT(MaskCheckPortA_A, a_req_i |-> 58 a_wmask_i[k*DataBitsPerMask +: DataBitsPerMask] inside {{DataBitsPerMask{1'b1}}, '0}, 59 clk_a_i, '0) 60 end 61 62 // Xilinx FPGA specific Two-port RAM coding style 63 // using always instead of always_ff to avoid 'ICPD - illegal combination of drivers' error 64 // thrown due to 'mem' being driven by two always processes below 65 always @(posedge clk_a_i) begin 66 1/1 if (a_req_i) begin Tests: T1 T4 T5  67 1/1 for (int i=0; i < MaskWidth; i = i + 1) begin Tests: T6 T10 T25  68 1/1 if (a_wmask[i]) begin Tests: T6 T10 T25  69 1/1 mem[a_addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= Tests: T6 T10 T25  70 a_wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 71 end MISSING_ELSE 72 end 73 end MISSING_ELSE 74 end 75 76 always @(posedge clk_b_i) begin 77 1/1 if (b_req_i) begin Tests: T1 T2 T3  78 1/1 b_rdata_o <= mem[b_addr_i]; Tests: T6 T10 T25  79 end MISSING_ELSE

Branch Coverage for Instance : tb.dut.u_spid_dpram.gen_ram1r1w.u_spi2sys_mem.u_mem.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
Branches 4 4 100.00
IF 66 2 2 100.00
IF 77 2 2 100.00


66 if (a_req_i) begin -1- 67 for (int i=0; i < MaskWidth; i = i + 1) begin ==> 68 if (a_wmask[i]) begin 69 mem[a_addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= 70 a_wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 71 end 72 end 73 end MISSING_ELSE ==>

Branches:
-1-StatusTests
1 Covered T6,T10,T25
0 Covered T1,T4,T5


77 if (b_req_i) begin -1- 78 b_rdata_o <= mem[b_addr_i]; ==> 79 end MISSING_ELSE ==>

Branches:
-1-StatusTests
1 Covered T6,T10,T25
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_spid_dpram.gen_ram1r1w.u_spi2sys_mem.u_mem.gen_generic.u_impl_generic
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 4 4 100.00 4 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 4 4 100.00 4 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
gen_wmask[0].MaskCheckPortA_A 152428106 1303983 0 0
gen_wmask[1].MaskCheckPortA_A 152428106 1303983 0 0
gen_wmask[2].MaskCheckPortA_A 152428106 1303983 0 0
gen_wmask[3].MaskCheckPortA_A 152428106 1303983 0 0


gen_wmask[0].MaskCheckPortA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 152428106 1303983 0 0
T6 1480 65 0 0
T7 13610 0 0 0
T8 4288 0 0 0
T9 272 0 0 0
T10 208705 1785 0 0
T11 41482 0 0 0
T12 58000 0 0 0
T13 23135 0 0 0
T14 62909 0 0 0
T16 14094 0 0 0
T22 0 2484 0 0
T25 0 302 0 0
T27 0 41 0 0
T39 0 11092 0 0
T40 0 1848 0 0
T41 0 133 0 0
T52 0 8 0 0
T55 0 4 0 0

gen_wmask[1].MaskCheckPortA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 152428106 1303983 0 0
T6 1480 65 0 0
T7 13610 0 0 0
T8 4288 0 0 0
T9 272 0 0 0
T10 208705 1785 0 0
T11 41482 0 0 0
T12 58000 0 0 0
T13 23135 0 0 0
T14 62909 0 0 0
T16 14094 0 0 0
T22 0 2484 0 0
T25 0 302 0 0
T27 0 41 0 0
T39 0 11092 0 0
T40 0 1848 0 0
T41 0 133 0 0
T52 0 8 0 0
T55 0 4 0 0

gen_wmask[2].MaskCheckPortA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 152428106 1303983 0 0
T6 1480 65 0 0
T7 13610 0 0 0
T8 4288 0 0 0
T9 272 0 0 0
T10 208705 1785 0 0
T11 41482 0 0 0
T12 58000 0 0 0
T13 23135 0 0 0
T14 62909 0 0 0
T16 14094 0 0 0
T22 0 2484 0 0
T25 0 302 0 0
T27 0 41 0 0
T39 0 11092 0 0
T40 0 1848 0 0
T41 0 133 0 0
T52 0 8 0 0
T55 0 4 0 0

gen_wmask[3].MaskCheckPortA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 152428106 1303983 0 0
T6 1480 65 0 0
T7 13610 0 0 0
T8 4288 0 0 0
T9 272 0 0 0
T10 208705 1785 0 0
T11 41482 0 0 0
T12 58000 0 0 0
T13 23135 0 0 0
T14 62909 0 0 0
T16 14094 0 0 0
T22 0 2484 0 0
T25 0 302 0 0
T27 0 41 0 0
T39 0 11092 0 0
T40 0 1848 0 0
T41 0 133 0 0
T52 0 8 0 0
T55 0 4 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%