Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : spi_cmdparse
SCORELINECONDTOGGLEFSMBRANCHASSERT
90.44 94.44 86.59 87.50 83.67 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_spi_device_0.1/rtl/spi_cmdparse.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_cmdparse 90.44 94.44 86.59 87.50 83.67 100.00



Module Instance : tb.dut.u_cmdparse

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
90.44 94.44 86.59 87.50 83.67 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
90.44 94.44 86.59 87.50 83.67 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
86.03 90.27 78.43 96.94 78.12 86.36 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : spi_cmdparse
Line No.TotalCoveredPercent
TOTAL10810294.44
CONT_ASSIGN8111100.00
ALWAYS8633100.00
CONT_ASSIGN15211100.00
CONT_ASSIGN15611100.00
ALWAYS18144100.00
CONT_ASSIGN19011100.00
CONT_ASSIGN19211100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19811100.00
CONT_ASSIGN20011100.00
ALWAYS20444100.00
ALWAYS21466100.00
ALWAYS22977100.00
CONT_ASSIGN24911100.00
CONT_ASSIGN25011100.00
ALWAYS25955100.00
CONT_ASSIGN27511100.00
ALWAYS2791111100.00
CONT_ASSIGN29111100.00
CONT_ASSIGN29811100.00
CONT_ASSIGN29911100.00
CONT_ASSIGN30011100.00
ALWAYS30344100.00
ALWAYS311484287.50
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_spi_device_0.1/rtl/spi_cmdparse.sv' or '../src/lowrisc_ip_spi_device_0.1/rtl/spi_cmdparse.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
81 1 1
86 1 1
87 1 1
88 1 1
152 1 1
156 1 1
181 1 1
182 1 1
183 1 1
185 1 1
MISSING_ELSE
190 1 1
192 1 1
194 1 1
196 1 1
198 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
MISSING_ELSE
214 1 1
215 1 1
221 1 1
222 1 1
223 1 1
224 1 1
MISSING_ELSE
229 1 1
235 1 1
236 1 1
237 1 1
238 1 1
239 1 1
240 1 1
MISSING_ELSE
MISSING_ELSE
249 1 1
250 1 1
259 1 1
265 1 1
267 1 1
268 1 1
269 1 1
MISSING_ELSE
275 1 1
279 1 1
280 1 1
281 1 1
282 1 1
283 1 1
284 2 2
MISSING_ELSE
285 2 2
MISSING_ELSE
286 2 2
MISSING_ELSE
MISSING_ELSE
291 1 1
298 1 1
299 1 1
300 1 1
303 1 1
304 1 1
305 1 1
306 1 1
==> MISSING_ELSE
311 1 1
313 1 1
314 1 1
316 1 1
317 1 1
319 1 1
321 1 1
323 1 1
325 1 1
326 1 1
328 1 1
330 1 1
331 0 1
332 1 1
333 1 1
334 1 1
336 1 1
341 1 1
342 0 1
343 1 1
344 1 1
345 1 1
347 1 1
353 1 1
354 0 1
355 1 1
356 1 1
357 1 1
360 1 1
368 1 1
372 0 1
373 0 1
377 1 1
380 1 1
384 1 1
387 1 1
397 1 1
399 1 1
400 1 1
MISSING_ELSE
405 1 1
407 1 1
409 1 1
411 1 1
413 0 1
415 1 1
417 1 1
420 1 1
422 1 1


Cond Coverage for Module : spi_cmdparse
TotalCoveredPercent
Conditions827186.59
Logical827186.59
Non-Logical00
Event00

 LINE       183
 EXPRESSION (cmd_info_i[(CmdInfoReadStatus1 + i)].valid && (data_i == cmd_info_i[(CmdInfoReadStatus1 + i)].opcode))
             ---------------------1--------------------    ---------------------------2---------------------------
-1--2-StatusTests
01CoveredT1,T4,T5
10CoveredT1,T3,T4
11CoveredT1,T3,T4

 LINE       183
 SUB-EXPRESSION (data_i == cmd_info_i[(CmdInfoReadStatus1 + i)].opcode)
                ---------------------------1---------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       190
 EXPRESSION (cmd_info_i[CmdInfoReadJedecId].valid && (data_i == cmd_info_i[CmdInfoReadJedecId].opcode))
             ------------------1-----------------    ------------------------2------------------------
-1--2-StatusTests
01CoveredT4,T5,T12
10CoveredT1,T3,T7
11CoveredT1,T3,T7

 LINE       190
 SUB-EXPRESSION (data_i == cmd_info_i[CmdInfoReadJedecId].opcode)
                ------------------------1------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       192
 EXPRESSION (cmd_info_i[CmdInfoReadSfdp].valid && (data_i == cmd_info_i[CmdInfoReadSfdp].opcode))
             ----------------1----------------    -----------------------2----------------------
-1--2-StatusTests
01CoveredT1,T4,T5
10CoveredT3,T7,T8
11CoveredT7,T8,T11

 LINE       192
 SUB-EXPRESSION (data_i == cmd_info_i[CmdInfoReadSfdp].opcode)
                -----------------------1----------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

 LINE       194
 EXPRESSION (cmd_info_i[CmdInfoEn4B].valid && (data_i == cmd_info_i[CmdInfoEn4B].opcode))
             --------------1--------------    ---------------------2--------------------
-1--2-StatusTests
01CoveredT1,T3,T4
10CoveredT8,T28,T29
11CoveredT8,T28,T29

 LINE       194
 SUB-EXPRESSION (data_i == cmd_info_i[CmdInfoEn4B].opcode)
                ---------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       196
 EXPRESSION (cmd_info_i[CmdInfoEx4B].valid && (data_i == cmd_info_i[CmdInfoEx4B].opcode))
             --------------1--------------    ---------------------2--------------------
-1--2-StatusTests
01CoveredT1,T3,T4
10CoveredT8,T28,T46
11CoveredT8,T28,T46

 LINE       196
 SUB-EXPRESSION (data_i == cmd_info_i[CmdInfoEx4B].opcode)
                ---------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       198
 EXPRESSION (cmd_info_i[CmdInfoWrEn].valid && (data_i == cmd_info_i[CmdInfoWrEn].opcode))
             --------------1--------------    ---------------------2--------------------
-1--2-StatusTests
01CoveredT1,T3,T4
10CoveredT31,T99,T100
11CoveredT99

 LINE       198
 SUB-EXPRESSION (data_i == cmd_info_i[CmdInfoWrEn].opcode)
                ---------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       200
 EXPRESSION (cmd_info_i[CmdInfoWrDi].valid && (data_i == cmd_info_i[CmdInfoWrDi].opcode))
             --------------1--------------    ---------------------2--------------------
-1--2-StatusTests
01CoveredT1,T3,T4
10CoveredT25,T26,T27
11CoveredT25,T26,T27

 LINE       200
 SUB-EXPRESSION (data_i == cmd_info_i[CmdInfoWrDi].opcode)
                ---------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       206
 EXPRESSION (cmd_info_i[i].valid && (data_i == cmd_info_i[i].opcode))
             ---------1---------    ----------------2---------------
-1--2-StatusTests
01CoveredT1,T4,T5
10CoveredT1,T3,T4
11CoveredT1,T3,T4

 LINE       206
 SUB-EXPRESSION (data_i == cmd_info_i[i].opcode)
                ----------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       236
 EXPRESSION ((st == StIdle) && module_active && data_valid_i)
             -------1------    ------2------    ------3-----
-1--2--3-StatusTests
011CoveredT1,T3,T4
101Not Covered
110CoveredT1,T2,T3
111CoveredT1,T3,T4

 LINE       236
 SUB-EXPRESSION (st == StIdle)
                -------1------
-1-StatusTests
0CoveredT1,T3,T4
1CoveredT1,T2,T3

 LINE       238
 EXPRESSION (cmd_info_i[i].valid && (data_i == cmd_info_i[i].opcode))
             ---------1---------    ----------------2---------------
-1--2-StatusTests
01CoveredT5,T6,T8
10CoveredT1,T3,T4
11CoveredT1,T3,T4

 LINE       238
 SUB-EXPRESSION (data_i == cmd_info_i[i].opcode)
                ----------------1---------------
-1-StatusTests
0CoveredT1,T3,T4
1CoveredT1,T3,T4

 LINE       267
 EXPRESSION ((st == StIdle) && module_active && data_valid_i)
             -------1------    ------2------    ------3-----
-1--2--3-StatusTests
011CoveredT1,T3,T4
101Not Covered
110CoveredT1,T2,T3
111CoveredT1,T3,T4

 LINE       267
 SUB-EXPRESSION (st == StIdle)
                -------1------
-1-StatusTests
0CoveredT1,T3,T4
1CoveredT1,T2,T3

 LINE       291
 EXPRESSION ((cmd_info_q.read_pipeline_mode == RdPipeTwoStageHalfCycle) || (cmd_info_q.read_pipeline_mode == RdPipeTwoStageFullCycle))
             -----------------------------1----------------------------    -----------------------------2----------------------------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered

 LINE       291
 SUB-EXPRESSION (cmd_info_q.read_pipeline_mode == RdPipeTwoStageHalfCycle)
                -----------------------------1----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       291
 SUB-EXPRESSION (cmd_info_q.read_pipeline_mode == RdPipeTwoStageFullCycle)
                -----------------------------1----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       298
 EXPRESSION (spi_mode_i == FlashMode)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       299
 EXPRESSION (spi_mode_i == PassThrough)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T6

 LINE       300
 EXPRESSION (in_flashmode || in_passthrough)
             ------1-----    -------2------
-1--2-StatusTests
00Not Covered
01CoveredT1,T4,T6
10CoveredT1,T2,T3

 LINE       323
 EXPRESSION (module_active && data_valid_i && cmd_info_d.valid)
             ------1------    ------2-----    --------3-------
-1--2--3-StatusTests
011Not Covered
101Not Covered
110CoveredT1,T4,T5
111CoveredT1,T3,T4

 LINE       380
 EXPRESSION (opcode_en4b ? DpEn4B : DpEx4B)
             -----1-----
-1-StatusTests
0CoveredT30,T31,T26
1CoveredT8,T28,T29

 LINE       387
 EXPRESSION (opcode_wren ? DpWrEn : DpWrDi)
             -----1-----
-1-StatusTests
0CoveredT25,T26,T27
1Not Covered

 LINE       397
 EXPRESSION (module_active && data_valid_i)
             ------1------    ------2-----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T4,T5

FSM Coverage for Module : spi_cmdparse
Summary for FSM :: st
TotalCoveredPercent
States 9 8 88.89 (Not included in score)
Transitions 8 7 87.50
Sequences 0 0

State, Transition and Sequence Details for FSM :: st
statesLine No.CoveredTests
StAddr4B 377 Covered T8,T28,T29
StIdle 236 Covered T1,T2,T3
StJedec 342 Covered T47,T48,T49
StReadCmd 368 Covered T1,T3,T4
StSfdp 354 Covered T93,T48,T101
StStatus 331 Covered T45,T28,T46
StUpload 372 Not Covered
StWait 336 Covered T1,T4,T5
StWrEn 384 Covered T25,T26,T27


transitionsLine No.CoveredTests
StIdle->StAddr4B 377 Covered T8,T28,T29
StIdle->StJedec 342 Covered T47,T48,T49
StIdle->StReadCmd 368 Covered T1,T3,T4
StIdle->StSfdp 354 Covered T93,T48,T101
StIdle->StStatus 331 Covered T45,T28,T46
StIdle->StUpload 372 Not Covered
StIdle->StWait 336 Covered T1,T4,T5
StIdle->StWrEn 384 Covered T25,T26,T27



Branch Coverage for Module : spi_cmdparse
Line No.TotalCoveredPercent
Branches 49 41 83.67
IF 183 2 2 100.00
IF 206 2 2 100.00
IF 214 3 3 100.00
IF 236 2 2 100.00
IF 267 2 2 100.00
IF 279 8 8 100.00
IF 303 3 2 66.67
CASE 321 27 20 74.07

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_spi_device_0.1/rtl/spi_cmdparse.sv' or '../src/lowrisc_ip_spi_device_0.1/rtl/spi_cmdparse.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 183 if ((cmd_info_i[(CmdInfoReadStatus1 + i)].valid && (data_i == cmd_info_i[(CmdInfoReadStatus1 + i)].opcode)))

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 206 if ((cmd_info_i[i].valid && (data_i == cmd_info_i[i].opcode)))

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 214 if ((!rst_ni)) -2-: 222 if (latch_cmdinfo)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T3,T4
0 0 Covered T1,T3,T4


LineNo. Expression -1-: 236 if ((((st == StIdle) && module_active) && data_valid_i))

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 267 if ((((st == StIdle) && module_active) && data_valid_i))

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 279 if ((!rst_ni)) -2-: 283 if (intercept_d) -3-: 284 if (opcode_readstatus) -4-: 285 if (opcode_readjedec) -5-: 286 if (opcode_readsfdp)

Branches:
-1--2--3--4--5-StatusTests
1 - - - - Covered T1,T2,T3
0 1 1 - - Covered T45,T28,T46
0 1 0 - - Covered T47,T93,T48
0 1 - 1 - Covered T47,T48,T49
0 1 - 0 - Covered T45,T28,T46
0 1 - - 1 Covered T93,T48,T101
0 1 - - 0 Covered T45,T28,T46
0 0 - - - Covered T1,T3,T4


LineNo. Expression -1-: 303 if ((!rst_ni)) -2-: 305 if (module_active)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T3,T4
0 0 Not Covered


LineNo. Expression -1-: 321 case (st) -2-: 323 if (((module_active && data_valid_i) && cmd_info_d.valid)) -3-: 328 case (1'b1) -4-: 330 if (in_flashmode) -5-: 332 if (cfg_intercept_en_status_i) -6-: 341 if (in_flashmode) -7-: 343 if (cfg_intercept_en_jedec_i) -8-: 353 if (in_flashmode) -9-: 355 if (cfg_intercept_en_sfdp_i) -10-: 380 (opcode_en4b) ? -11-: 387 (opcode_wren) ? -12-: 397 if ((module_active && data_valid_i))

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12-StatusTests
StIdle 1 opcode_readstatus 1 - - - - - - - - Not Covered
StIdle 1 opcode_readstatus 0 1 - - - - - - - Covered T45,T28,T46
StIdle 1 opcode_readstatus 0 0 - - - - - - - Covered T1,T4,T66
StIdle 1 opcode_readjedec - - 1 - - - - - - Not Covered
StIdle 1 opcode_readjedec - - 0 1 - - - - - Covered T47,T48,T49
StIdle 1 opcode_readjedec - - 0 0 - - - - - Covered T1,T67,T55
StIdle 1 opcode_readsfdp - - - - 1 - - - - Not Covered
StIdle 1 opcode_readsfdp - - - - 0 1 - - - Covered T93,T48,T101
StIdle 1 opcode_readsfdp - - - - 0 0 - - - Covered T45,T59,T79
StIdle 1 opcode_readcmd - - - - - - - - - Covered T1,T3,T4
StIdle 1 upload - - - - - - - - - Not Covered
StIdle 1 opcode_en4b opcode_ex4b - - - - - - 1 - - Covered T8,T28,T29
StIdle 1 opcode_en4b opcode_ex4b - - - - - - 0 - - Covered T30,T31,T26
StIdle 1 opcode_wren opcode_wrdi - - - - - - - 1 - Not Covered
StIdle 1 opcode_wren opcode_wrdi - - - - - - - 0 - Covered T25,T26,T27
StIdle 1 default - - - - - - - - - Covered T1,T4,T8
StIdle 0 - - - - - - - - - 1 Covered T1,T4,T5
StIdle 0 - - - - - - - - - 0 Covered T1,T2,T3
StStatus - - - - - - - - - - - Covered T45,T28,T46
StJedec - - - - - - - - - - - Covered T47,T48,T49
StSfdp - - - - - - - - - - - Covered T93,T48,T101
StReadCmd - - - - - - - - - - - Covered T1,T3,T4
StUpload - - - - - - - - - - - Not Covered
StAddr4B - - - - - - - - - - - Covered T8,T28,T29
StWrEn - - - - - - - - - - - Covered T25,T26,T27
StWait - - - - - - - - - - - Covered T1,T4,T5
default - - - - - - - - - - - Not Covered


Assert Coverage for Module : spi_cmdparse
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 4 4 100.00 4 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 4 4 100.00 4 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
CmdOnlySelDpKnown_A 38366178 24071970 0 0
OnlyOneDatapath_A 38366178 6167 0 0
SelDpKnown_A 38366178 24071970 0 0
StKnown_A 38366178 24071970 0 0


CmdOnlySelDpKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 38366178 24071970 0 0
T1 162112 161920 0 0
T3 25485 25369 0 0
T4 11403 11020 0 0
T5 132395 132152 0 0
T6 50816 50816 0 0
T7 10134 10134 0 0
T8 71956 71956 0 0
T9 53424 53424 0 0
T10 0 134959 0 0
T11 0 18984 0 0
T12 1644 0 0 0
T13 19854 0 0 0

OnlyOneDatapath_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 38366178 6167 0 0
T1 162112 38 0 0
T3 25485 8 0 0
T4 11403 18 0 0
T5 132395 23 0 0
T6 50816 4 0 0
T7 10134 8 0 0
T8 71956 8 0 0
T9 53424 10 0 0
T10 0 23 0 0
T11 0 8 0 0
T12 1644 0 0 0
T13 19854 0 0 0

SelDpKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 38366178 24071970 0 0
T1 162112 161920 0 0
T3 25485 25369 0 0
T4 11403 11020 0 0
T5 132395 132152 0 0
T6 50816 50816 0 0
T7 10134 10134 0 0
T8 71956 71956 0 0
T9 53424 53424 0 0
T10 0 134959 0 0
T11 0 18984 0 0
T12 1644 0 0 0
T13 19854 0 0 0

StKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 38366178 24071970 0 0
T1 162112 161920 0 0
T3 25485 25369 0 0
T4 11403 11020 0 0
T5 132395 132152 0 0
T6 50816 50816 0 0
T7 10134 10134 0 0
T8 71956 71956 0 0
T9 53424 53424 0 0
T10 0 134959 0 0
T11 0 18984 0 0
T12 1644 0 0 0
T13 19854 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%