Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : spi_device
SCORELINECONDTOGGLEFSMBRANCHASSERT
86.03 90.27 78.43 96.94 78.12 86.36

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_spi_device_0.1/rtl/spi_device.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut 86.03 90.27 78.43 96.94 78.12 86.36



Module Instance : tb.dut

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
86.03 90.27 78.43 96.94 78.12 86.36


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
92.80 97.56 92.92 98.61 80.85 95.95 90.92


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_alert_tx[0].u_prim_alert_sender 100.00 100.00
spi_device_csr_assert 100.00 100.00
tlul_assert_device 100.00 100.00 100.00 100.00
u_clk_csb_buf 100.00 100.00
u_clk_csb_mux 64.81 100.00 44.44 50.00
u_clk_spi 85.19 100.00 55.56 100.00
u_clk_spi_in_buf 100.00 100.00
u_clk_spi_in_mux 64.81 100.00 44.44 50.00
u_clk_spi_out_buf 100.00 100.00
u_clk_spi_out_mux 64.81 100.00 44.44 50.00
u_cmdparse 90.44 94.44 86.59 87.50 83.67 100.00
u_csb_buf 100.00 100.00
u_csb_rst_scan_mux 64.81 100.00 44.44 50.00
u_flash_readbuf_flip_pulse_sync 100.00 100.00 100.00 100.00 100.00
u_flash_readbuf_watermark_pulse_sync 100.00 100.00 100.00 100.00 100.00
u_intr_cmdfifo_not_empty 97.92 100.00 91.67 100.00 100.00
u_intr_payload_not_empty 97.92 100.00 91.67 100.00 100.00
u_intr_payload_overflow 97.92 100.00 91.67 100.00 100.00
u_intr_readbuf_flip 100.00 100.00 100.00 100.00 100.00
u_intr_readbuf_watermark 100.00 100.00 100.00 100.00 100.00
u_intr_tpm_cmdaddr_notempty 100.00 100.00 100.00 100.00 100.00
u_intr_tpm_rdfifo_cmd_end 100.00 100.00 100.00 100.00 100.00
u_intr_tpm_rdfifo_drop 97.92 100.00 91.67 100.00 100.00
u_intr_upload_edge 100.00 100.00 100.00
u_jedec 99.38 100.00 100.00 100.00 96.88 100.00
u_p2s 84.73 100.00 71.43 67.50 100.00
u_passthrough 89.42 92.20 88.24 75.00 91.67 100.00
u_read_en_pipe_stg1 100.00 100.00 100.00
u_read_en_pipe_stg2 100.00 100.00 100.00
u_read_pipe_stg1 100.00 100.00 100.00
u_read_pipe_stg2 100.00 100.00 100.00
u_readcmd 89.12 93.62 89.40 87.50 84.15 90.91
u_reg 99.61 99.53 99.20 100.00 99.32 100.00
u_s2p 86.75 100.00 78.57 68.42 100.00
u_scanmode_sync 100.00 100.00
u_spi_tpm 92.68 99.28 84.99 91.67 95.32 92.16
u_spid_addr_4b 86.34 97.59 77.78 95.00 75.00
u_spid_csb_sync 96.97 100.00 100.00 90.91
u_spid_dpram 95.45 100.00 81.82 100.00 100.00
u_spid_status 96.98 96.95 97.83 93.15 100.00
u_sys_csb_syncd 100.00 100.00 100.00
u_sys_sram_arbiter 88.46 100.00 76.47 96.43 80.95
u_sys_tpm_csb_sync 100.00 100.00 100.00
u_tlul2sram_egress 71.34 81.67 59.93 62.50 81.25
u_tlul2sram_ingress 86.63 87.92 74.53 84.09 100.00
u_tpm_csb_buf 100.00 100.00
u_tpm_csb_rst_scan_mux 64.81 100.00 44.44 50.00
u_tpm_csb_rst_sync 70.83 88.89 44.44 100.00 50.00
u_upload 42.60 82.94 34.96 0.00 61.18 33.93


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : spi_device
Line No.TotalCoveredPercent
TOTAL22620490.27
CONT_ASSIGN17311100.00
CONT_ASSIGN30811100.00
CONT_ASSIGN37111100.00
CONT_ASSIGN37211100.00
CONT_ASSIGN37511100.00
CONT_ASSIGN37611100.00
CONT_ASSIGN37811100.00
CONT_ASSIGN39311100.00
CONT_ASSIGN52611100.00
CONT_ASSIGN53311100.00
CONT_ASSIGN53511100.00
ALWAYS53844100.00
CONT_ASSIGN54611100.00
CONT_ASSIGN55211100.00
CONT_ASSIGN55311100.00
CONT_ASSIGN55811100.00
CONT_ASSIGN55911100.00
CONT_ASSIGN56311100.00
ALWAYS56800
ALWAYS56822100.00
CONT_ASSIGN57311100.00
CONT_ASSIGN57411100.00
ALWAYS58200
ALWAYS5821212100.00
CONT_ASSIGN64611100.00
CONT_ASSIGN64711100.00
CONT_ASSIGN64811100.00
ALWAYS78433100.00
ALWAYS7908675.00
ALWAYS82899100.00
ALWAYS852242083.33
CONT_ASSIGN91911100.00
CONT_ASSIGN92011100.00
ALWAYS9635360.00
ALWAYS9741313100.00
ALWAYS101133100.00
CONT_ASSIGN114711100.00
CONT_ASSIGN115011100.00
CONT_ASSIGN115411100.00
CONT_ASSIGN115511100.00
CONT_ASSIGN115611100.00
CONT_ASSIGN115811100.00
CONT_ASSIGN115911100.00
CONT_ASSIGN116211100.00
CONT_ASSIGN1208100.00
CONT_ASSIGN1238100.00
CONT_ASSIGN1321100.00
CONT_ASSIGN132211100.00
CONT_ASSIGN132311100.00
CONT_ASSIGN132411100.00
CONT_ASSIGN132511100.00
CONT_ASSIGN1327100.00
CONT_ASSIGN133111100.00
CONT_ASSIGN1338100.00
CONT_ASSIGN133911100.00
CONT_ASSIGN134111100.00
CONT_ASSIGN134511100.00
CONT_ASSIGN134811100.00
CONT_ASSIGN135111100.00
CONT_ASSIGN135411100.00
CONT_ASSIGN135711100.00
CONT_ASSIGN136011100.00
CONT_ASSIGN136711100.00
CONT_ASSIGN136811100.00
CONT_ASSIGN140711100.00
CONT_ASSIGN1508100.00
CONT_ASSIGN151611100.00
CONT_ASSIGN151711100.00
CONT_ASSIGN151811100.00
CONT_ASSIGN151911100.00
CONT_ASSIGN152011100.00
CONT_ASSIGN152311100.00
CONT_ASSIGN153011100.00
CONT_ASSIGN153711100.00
CONT_ASSIGN153711100.00
CONT_ASSIGN153711100.00
CONT_ASSIGN153711100.00
CONT_ASSIGN153711100.00
CONT_ASSIGN154011100.00
CONT_ASSIGN154111100.00
CONT_ASSIGN154211100.00
CONT_ASSIGN154311100.00
CONT_ASSIGN154411100.00
CONT_ASSIGN154511100.00
CONT_ASSIGN154711100.00
CONT_ASSIGN155111100.00
CONT_ASSIGN155311100.00
CONT_ASSIGN155411100.00
CONT_ASSIGN156111100.00
CONT_ASSIGN156311100.00
CONT_ASSIGN156411100.00
CONT_ASSIGN157311100.00
CONT_ASSIGN157411100.00
CONT_ASSIGN157511100.00
CONT_ASSIGN157611100.00
CONT_ASSIGN163111100.00
CONT_ASSIGN163311100.00
ALWAYS163844100.00
ALWAYS164700
ALWAYS164799100.00
CONT_ASSIGN166411100.00
CONT_ASSIGN166411100.00
CONT_ASSIGN166411100.00
CONT_ASSIGN166411100.00
CONT_ASSIGN166411100.00
CONT_ASSIGN166511100.00
CONT_ASSIGN166511100.00
CONT_ASSIGN1665100.00
CONT_ASSIGN1665100.00
CONT_ASSIGN1665100.00
CONT_ASSIGN166611100.00
CONT_ASSIGN166611100.00
CONT_ASSIGN1666100.00
CONT_ASSIGN1666100.00
CONT_ASSIGN166611100.00
CONT_ASSIGN166711100.00
CONT_ASSIGN166711100.00
CONT_ASSIGN1667100.00
CONT_ASSIGN1667100.00
CONT_ASSIGN1667100.00
CONT_ASSIGN166911100.00
CONT_ASSIGN166911100.00
CONT_ASSIGN166911100.00
CONT_ASSIGN166911100.00
CONT_ASSIGN166911100.00
CONT_ASSIGN167011100.00
CONT_ASSIGN167011100.00
CONT_ASSIGN167011100.00
CONT_ASSIGN167011100.00
CONT_ASSIGN167011100.00
CONT_ASSIGN167111100.00
CONT_ASSIGN167111100.00
CONT_ASSIGN167111100.00
CONT_ASSIGN167111100.00
CONT_ASSIGN167111100.00
CONT_ASSIGN171211100.00
CONT_ASSIGN171411100.00
CONT_ASSIGN171511100.00
CONT_ASSIGN171611100.00
CONT_ASSIGN171711100.00
CONT_ASSIGN171811100.00
CONT_ASSIGN172011100.00
CONT_ASSIGN172111100.00
CONT_ASSIGN172211100.00
CONT_ASSIGN177811100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_spi_device_0.1/rtl/spi_device.sv' or '../src/lowrisc_ip_spi_device_0.1/rtl/spi_device.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
173 1 1
308 1 1
371 1 1
372 1 1
375 1 1
376 1 1
378 1 1
393 1 1
526 1 1
533 1 1
535 1 1
538 1 1
539 1 1
540 1 1
541 1 1
MISSING_ELSE
546 1 1
552 1 1
553 1 1
558 1 1
559 1 1
563 1 1
568 1 1
569 1 1
573 1 1
574 1 1
582 1 1
583 1 1
602 1 1
603 1 1
607 1 1
608 1 1
610 1 1
611 1 1
613 1 1
614 1 1
616 1 1
617 1 1
646 1 1
647 1 1
648 1 1
784 2 2
785 1 1
790 1 1
792 1 1
793 1 1
800 1 1
804 1 1
805 1 1
809 0 1
810 0 1
828 1 1
830 1 1
835 1 1
841 1 1
842 1 1
843 1 1
844 1 1
845 1 1
846 1 1
MISSING_ELSE
852 1 1
853 1 1
854 1 1
855 1 1
857 1 1
859 1 1
861 1 1
863 1 1
867 1 1
869 1 1
870 1 1
871 1 1
874 1 1
876 1 1
877 1 1
878 1 1
883 1 1
885 1 1
886 1 1
887 1 1
891 0 1
893 0 1
894 0 1
895 0 1
919 1 1
920 1 1
963 1 1
964 0 1
965 0 1
967 1 1
968 1 1
974 1 1
975 1 1
977 1 1
979 1 1
980 1 1
984 1 1
986 1 1
987 1 1
991 1 1
992 1 1
993 1 1
995 1 1
996 1 1
1011 2 2
1012 1 1
1147 1 1
1150 1 1
1154 1 1
1155 1 1
1156 1 1
1158 1 1
1159 1 1
1162 1 1
1208 0 1
1238 0 1
1321 0 1
1322 1 1
1323 1 1
1324 1 1
1325 1 1
1327 0 1
1331 1 1
1338 0 1
1339 1 1
1341 1 1
1345 1 1
1348 1 1
1351 1 1
1354 1 1
1357 1 1
1360 1 1
1367 1 1
1368 1 1
1407 1 1
1508 0 1
1516 1 1
1517 1 1
1518 1 1
1519 1 1
1520 1 1
1523 1 1
1530 1 1
1537 5 5
1540 1 1
1541 1 1
1542 1 1
1543 1 1
1544 1 1
1545 1 1
1547 1 1
1551 1 1
1553 1 1
1554 1 1
1561 1 1
1563 1 1
1564 1 1
1573 1 1
1574 1 1
1575 1 1
1576 1 1
1631 1 1
1633 1 1
1638 1 1
1639 1 1
1640 1 1
1641 1 1
MISSING_ELSE
1647 1 1
1648 1 1
1650 1 1
1653 1 1
1654 1 1
1655 1 1
1656 1 1
1658 1 1
1659 1 1
1664 5 5
1665 2 5
1666 3 5
1667 2 5
1669 5 5
1670 5 5
1671 5 5
1712 1 1
1714 1 1
1715 1 1
1716 1 1
1717 1 1
1718 1 1
1720 1 1
1721 1 1
1722 1 1
1778 1 1


Cond Coverage for Module : spi_device
TotalCoveredPercent
Conditions514078.43
Logical514078.43
Non-Logical00
Event00

 LINE       173
 EXPRESSION (payload_depth != '0)
            ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       701
 EXPRESSION (rst_ni & ((~rst_csb_buf)))
             ---1--   --------2-------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       712
 EXPRESSION (rst_ni & ((~rst_tpm_csb_buf)))
             ---1--   ----------2---------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT2,T12,T13

 LINE       814
 EXPRESSION (cmd_only_dp_sel == DpUpload)
            --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       841
 EXPRESSION (((!sck_csb)) && ((spi_mode == FlashMode) || (spi_mode == PassThrough)))
             ------1-----    ---------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       841
 SUB-EXPRESSION ((spi_mode == FlashMode) || (spi_mode == PassThrough))
                 -----------1-----------    ------------2------------
-1--2-StatusTests
00Not Covered
01CoveredT1,T4,T6
10CoveredT1,T2,T3

 LINE       841
 SUB-EXPRESSION (spi_mode == FlashMode)
                -----------1-----------
-1-StatusTests
0CoveredT1,T4,T6
1CoveredT1,T2,T3

 LINE       841
 SUB-EXPRESSION (spi_mode == PassThrough)
                ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T6

 LINE       977
 EXPRESSION (cfg_tpm_en && ((!sck_tpm_csb_buf)))
             -----1----    ----------2---------
-1--2-StatusTests
01CoveredT2,T22,T24
10CoveredT12,T13,T19
11CoveredT12,T13,T19

 LINE       1147
 EXPRESSION (reg2hw.flash_status.busy.qe && reg2hw.flash_status.wel.qe && reg2hw.flash_status.status.qe)
             -------------1-------------    -------------2------------    --------------3--------------
-1--2--3-StatusTests
011Not Covered
101Not Covered
110Not Covered
111CoveredT3,T5,T6

 LINE       1158
 EXPRESSION (cmd_only_dp_sel == DpWrEn)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       1159
 EXPRESSION (cmd_only_dp_sel == DpWrDi)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT25,T26,T27

 LINE       1367
 EXPRESSION (cmd_only_dp_sel == DpEn4B)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT8,T28,T29

 LINE       1368
 EXPRESSION (cmd_only_dp_sel == DpEx4B)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT30,T31,T26

 LINE       1530
 EXPRESSION (reg2hw.tpm_status.wrfifo_pending.qe & ((~reg2hw.tpm_status.wrfifo_pending.q)))
             -----------------1-----------------   -------------------2-------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT15,T17,T18

 LINE       1640
 EXPRESSION ((i != SysSramFwEgress) && (i != SysSramFwIngress))
             -----------1----------    -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       1640
 SUB-EXPRESSION (i != SysSramFwEgress)
                -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1640
 SUB-EXPRESSION (i != SysSramFwIngress)
                -----------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1712
 EXPRESSION (tpm_rst_n | rst_spi_n)
             ----1----   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT2,T12,T13

 LINE       1778
 SUB-EXPRESSION (reg2hw.alert_test.q & reg2hw.alert_test.qe)
                 ---------1---------   ----------2---------
-1--2-StatusTests
01CoveredT14,T32,T33
10CoveredT1,T2,T3
11CoveredT14,T32,T33

Toggle Coverage for Module : spi_device
TotalCoveredPercent
Totals 59 54 91.53
Total Bits 458 444 96.94
Total Bits 0->1 229 222 96.94
Total Bits 1->0 229 222 96.94

Ports 59 54 91.53
Port Bits 458 444 96.94
Port Bits 0->1 229 222 96.94
Port Bits 1->0 229 222 96.94

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T34,T35,T36 Yes T1,T2,T3 INPUT
tl_i.d_ready Yes Yes T2,T3,T5 Yes T1,T2,T3 INPUT
tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.instr_type[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_address[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_error Yes Yes T16,T37,T38 Yes T16,T37,T38 OUTPUT
tl_o.d_user.data_intg[6:0] Yes Yes T2,T3,T5 Yes T2,T3,T5 OUTPUT
tl_o.d_user.rsp_intg[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
tl_o.d_source[7:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
tl_o.d_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T14,T32,T34 Yes T14,T32,T34 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T14,T32,T34 Yes T14,T32,T34 OUTPUT
cio_sck_i Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
cio_csb_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cio_sd_o[3:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
cio_sd_en_o[3:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
cio_sd_i[3:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
cio_tpm_csb_i Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
passthrough_o.s_en[0] Yes Yes *T1,*T4,*T6 Yes T1,T4,T6 OUTPUT
passthrough_o.s_en[3:1] No No No OUTPUT
passthrough_o.s[3:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
passthrough_o.csb_en No No No OUTPUT
passthrough_o.csb Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
passthrough_o.sck_en No No No OUTPUT
passthrough_o.sck Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
passthrough_o.passthrough_en Yes Yes T39,T40,T41 Yes T1,T4,T6 OUTPUT
passthrough_i.s[3:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
intr_upload_cmdfifo_not_empty_o Yes Yes T2,T42,T43 Yes T2,T42,T43 OUTPUT
intr_upload_payload_not_empty_o Yes Yes T2,T42,T43 Yes T2,T42,T43 OUTPUT
intr_upload_payload_overflow_o Yes Yes T2,T42,T43 Yes T2,T42,T43 OUTPUT
intr_readbuf_watermark_o Yes Yes T2,T42,T43 Yes T2,T42,T43 OUTPUT
intr_readbuf_flip_o Yes Yes T2,T42,T43 Yes T2,T42,T43 OUTPUT
intr_tpm_header_not_empty_o Yes Yes T2,T42,T43 Yes T2,T42,T43 OUTPUT
intr_tpm_rdfifo_cmd_end_o Yes Yes T2,T42,T43 Yes T2,T42,T43 OUTPUT
intr_tpm_rdfifo_drop_o Yes Yes T2,T42,T43 Yes T2,T42,T43 OUTPUT
ram_cfg_i.b_ram_lcfg.cfg[3:0] Yes Yes T44 Yes T44 INPUT
ram_cfg_i.b_ram_lcfg.cfg_en Yes Yes T44 Yes T44 INPUT
ram_cfg_i.a_ram_lcfg.cfg[3:0] Yes Yes T44 Yes T44 INPUT
ram_cfg_i.a_ram_lcfg.cfg_en Yes Yes T44 Yes T44 INPUT
ram_cfg_i.b_ram_fcfg.cfg[3:0] Yes Yes T44 Yes T44 INPUT
ram_cfg_i.b_ram_fcfg.cfg_en Yes Yes T44 Yes T44 INPUT
ram_cfg_i.a_ram_fcfg.cfg[3:0] Yes Yes T44 Yes T44 INPUT
ram_cfg_i.a_ram_fcfg.cfg_en Yes Yes T44 Yes T44 INPUT
sck_monitor_o Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
mbist_en_i Unreachable Unreachable Unreachable INPUT
scan_clk_i No No No INPUT
scan_rst_ni No No No INPUT
scanmode_i[3:0] Unreachable Unreachable Unreachable INPUT

*Tests covering at least one bit in the range

Branch Coverage for Module : spi_device
Line No.TotalCoveredPercent
Branches 32 25 78.12
IF 538 3 3 100.00
IF 784 2 2 100.00
CASE 800 4 2 50.00
IF 841 3 3 100.00
CASE 857 7 4 57.14
IF 963 2 1 50.00
IF 977 5 4 80.00
IF 1011 2 2 100.00
IF 1640 2 2 100.00
IF 1650 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_spi_device_0.1/rtl/spi_device.sv' or '../src/lowrisc_ip_spi_device_0.1/rtl/spi_device.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 538 if ((!rst_ni)) -2-: 540 if (sys_csb_deasserted_pulse)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T3,T4
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 784 if ((!rst_spi_n))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T4


LineNo. Expression -1-: 800 case (cmd_dp_sel) -2-: 814 if ((cmd_only_dp_sel == DpUpload))

Branches:
-1--2-StatusTests
DpReadCmd DpReadSFDP - Covered T1,T3,T4
DpUpload - Not Covered
default 1 Not Covered
default 0 Covered T1,T2,T3


LineNo. Expression -1-: 841 if (((!sck_csb) && ((spi_mode == FlashMode) || (spi_mode == PassThrough)))) -2-: 844 if (cfg_tpm_en)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T12,T13,T19
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 857 case (spi_mode) -2-: 859 case (cmd_dp_sel)

Branches:
-1--2-StatusTests
FlashMode PassThrough DpNone Covered T1,T2,T3
FlashMode PassThrough DpReadCmd DpReadSFDP Covered T1,T3,T4
FlashMode PassThrough DpReadStatus Covered T45,T28,T46
FlashMode PassThrough DpReadJEDEC Covered T47,T48,T49
FlashMode PassThrough DpUpload Not Covered
FlashMode PassThrough default Not Covered
default - Not Covered


LineNo. Expression -1-: 963 if (cmd_read_pipeline_sel)

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 977 if ((cfg_tpm_en && (!sck_tpm_csb_buf))) -2-: 984 case (spi_mode) -3-: 991 if (intercept_en)

Branches:
-1--2--3-StatusTests
1 - - Covered T12,T13,T19
0 FlashMode - Covered T1,T2,T3
0 PassThrough 1 Covered T50,T51,T45
0 PassThrough 0 Covered T1,T4,T6
0 default - Not Covered


LineNo. Expression -1-: 1011 if ((!rst_spi_n))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T4


LineNo. Expression -1-: 1640 if (((i != SysSramFwEgress) && (i != SysSramFwIngress)))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 1650 if (sys_sram_hw_req)

Branches:
-1-StatusTests
1 Covered T15,T17,T18
0 Covered T1,T2,T3


Assert Coverage for Module : spi_device
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 19 86.36
Cover properties 0 0 0
Cover sequences 0 0 0
Total 22 22 100.00 19 86.36




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertKnownO_A 109736388 109676719 0 0
CioSdoEnOKnown 109736388 109676719 0 0
CioSdoEnOffWhenInactive 109736388 109676719 0 0
FpvSecCmRegWeOnehotCheck_A 109736388 110 0 0
InterceptLevel_M 38366835 0 0 0
IntrReadbufFlipOKnown 109736388 109676719 0 0
IntrReadbufWatermarkOKnown 109736388 109676719 0 0
IntrTpmHeaderNotEmptyOKnown 109736388 109676719 0 0
IntrTpmRdfifoCmdEndOKnown 109736388 109676719 0 0
IntrTpmRdfifoDropOKnown 109736388 109676719 0 0
IntrUploadCmdfifoNotEmptyOKnown 109736388 109676719 0 0
IntrUploadPayloadNotEmptyOKnown 109736388 109676719 0 0
IntrUploadPayloadOverflowOKnown 109736388 109676719 0 0
PayloadStartIdxWidthMatch_A 676 676 0 0
SpiModeKnown_A 109736388 109676719 0 0
TpmEnableWhenTpmCsbIdle_M 109736388 200 0 0
g_sram_connect[0].ReqAlwaysAccepted_A 109736388 347792 0 0
g_sram_connect[1].ReqAlwaysAccepted_A 109736388 40902 0 0
g_sram_connect[2].ReqAlwaysAccepted_A 109736388 0 0 0
g_sram_connect[3].ReqAlwaysAccepted_A 109736388 0 0 0
g_sram_connect[4].ReqAlwaysAccepted_A 109736388 66904 0 0
scanmodeKnown 109736388 109736388 0 0


AlertKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 109676719 0 0
T1 651909 651832 0 0
T2 4727 4654 0 0
T3 212601 212546 0 0
T4 14155 14100 0 0
T5 665039 664973 0 0
T6 307946 307880 0 0
T7 38044 37983 0 0
T8 29474 29402 0 0
T12 14036 13960 0 0
T14 857 779 0 0

CioSdoEnOKnown
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 109676719 0 0
T1 651909 651832 0 0
T2 4727 4654 0 0
T3 212601 212546 0 0
T4 14155 14100 0 0
T5 665039 664973 0 0
T6 307946 307880 0 0
T7 38044 37983 0 0
T8 29474 29402 0 0
T12 14036 13960 0 0
T14 857 779 0 0

CioSdoEnOffWhenInactive
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 109676719 0 0
T1 651909 651832 0 0
T2 4727 4654 0 0
T3 212601 212546 0 0
T4 14155 14100 0 0
T5 665039 664973 0 0
T6 307946 307880 0 0
T7 38044 37983 0 0
T8 29474 29402 0 0
T12 14036 13960 0 0
T14 857 779 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 110 0 0
T18 5061 0 0 0
T23 61733 0 0 0
T33 1330 0 0 0
T34 8215 30 0 0
T35 0 20 0 0
T45 136470 0 0 0
T52 0 30 0 0
T53 0 10 0 0
T54 0 20 0 0
T55 185231 0 0 0
T56 26940 0 0 0
T57 305448 0 0 0
T58 9536 0 0 0
T59 261723 0 0 0

InterceptLevel_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 38366835 0 0 0

IntrReadbufFlipOKnown
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 109676719 0 0
T1 651909 651832 0 0
T2 4727 4654 0 0
T3 212601 212546 0 0
T4 14155 14100 0 0
T5 665039 664973 0 0
T6 307946 307880 0 0
T7 38044 37983 0 0
T8 29474 29402 0 0
T12 14036 13960 0 0
T14 857 779 0 0

IntrReadbufWatermarkOKnown
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 109676719 0 0
T1 651909 651832 0 0
T2 4727 4654 0 0
T3 212601 212546 0 0
T4 14155 14100 0 0
T5 665039 664973 0 0
T6 307946 307880 0 0
T7 38044 37983 0 0
T8 29474 29402 0 0
T12 14036 13960 0 0
T14 857 779 0 0

IntrTpmHeaderNotEmptyOKnown
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 109676719 0 0
T1 651909 651832 0 0
T2 4727 4654 0 0
T3 212601 212546 0 0
T4 14155 14100 0 0
T5 665039 664973 0 0
T6 307946 307880 0 0
T7 38044 37983 0 0
T8 29474 29402 0 0
T12 14036 13960 0 0
T14 857 779 0 0

IntrTpmRdfifoCmdEndOKnown
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 109676719 0 0
T1 651909 651832 0 0
T2 4727 4654 0 0
T3 212601 212546 0 0
T4 14155 14100 0 0
T5 665039 664973 0 0
T6 307946 307880 0 0
T7 38044 37983 0 0
T8 29474 29402 0 0
T12 14036 13960 0 0
T14 857 779 0 0

IntrTpmRdfifoDropOKnown
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 109676719 0 0
T1 651909 651832 0 0
T2 4727 4654 0 0
T3 212601 212546 0 0
T4 14155 14100 0 0
T5 665039 664973 0 0
T6 307946 307880 0 0
T7 38044 37983 0 0
T8 29474 29402 0 0
T12 14036 13960 0 0
T14 857 779 0 0

IntrUploadCmdfifoNotEmptyOKnown
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 109676719 0 0
T1 651909 651832 0 0
T2 4727 4654 0 0
T3 212601 212546 0 0
T4 14155 14100 0 0
T5 665039 664973 0 0
T6 307946 307880 0 0
T7 38044 37983 0 0
T8 29474 29402 0 0
T12 14036 13960 0 0
T14 857 779 0 0

IntrUploadPayloadNotEmptyOKnown
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 109676719 0 0
T1 651909 651832 0 0
T2 4727 4654 0 0
T3 212601 212546 0 0
T4 14155 14100 0 0
T5 665039 664973 0 0
T6 307946 307880 0 0
T7 38044 37983 0 0
T8 29474 29402 0 0
T12 14036 13960 0 0
T14 857 779 0 0

IntrUploadPayloadOverflowOKnown
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 109676719 0 0
T1 651909 651832 0 0
T2 4727 4654 0 0
T3 212601 212546 0 0
T4 14155 14100 0 0
T5 665039 664973 0 0
T6 307946 307880 0 0
T7 38044 37983 0 0
T8 29474 29402 0 0
T12 14036 13960 0 0
T14 857 779 0 0

PayloadStartIdxWidthMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 676 676 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T12 1 1 0 0
T14 1 1 0 0

SpiModeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 109676719 0 0
T1 651909 651832 0 0
T2 4727 4654 0 0
T3 212601 212546 0 0
T4 14155 14100 0 0
T5 665039 664973 0 0
T6 307946 307880 0 0
T7 38044 37983 0 0
T8 29474 29402 0 0
T12 14036 13960 0 0
T14 857 779 0 0

TpmEnableWhenTpmCsbIdle_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 200 0 0
T6 307946 0 0 0
T7 38044 0 0 0
T8 29474 0 0 0
T9 20807 0 0 0
T10 685102 0 0 0
T11 15639 0 0 0
T12 14036 1 0 0
T13 27882 1 0 0
T14 857 0 0 0
T15 0 1 0 0
T17 0 1 0 0
T18 0 1 0 0
T19 159632 1 0 0
T20 0 1 0 0
T21 0 1 0 0
T23 0 1 0 0
T58 0 1 0 0

g_sram_connect[0].ReqAlwaysAccepted_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 347792 0 0
T1 651909 832 0 0
T2 4727 0 0 0
T3 212601 832 0 0
T4 14155 832 0 0
T5 665039 3904 0 0
T6 307946 832 0 0
T7 38044 832 0 0
T8 29474 832 0 0
T9 0 832 0 0
T10 0 1344 0 0
T11 0 832 0 0
T12 14036 0 0 0
T14 857 0 0 0

g_sram_connect[1].ReqAlwaysAccepted_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 40902 0 0
T15 8252 53 0 0
T16 1527 100 0 0
T17 0 1064 0 0
T18 0 3 0 0
T32 834 0 0 0
T50 161748 0 0 0
T51 140178 0 0 0
T60 0 1200 0 0
T61 0 88 0 0
T62 0 925 0 0
T63 0 16 0 0
T64 0 59 0 0
T65 0 31 0 0
T66 76073 0 0 0
T67 839802 0 0 0
T68 92918 0 0 0
T69 75323 0 0 0
T70 136463 0 0 0

g_sram_connect[2].ReqAlwaysAccepted_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 0 0 0

g_sram_connect[3].ReqAlwaysAccepted_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 0 0 0

g_sram_connect[4].ReqAlwaysAccepted_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 66904 0 0
T15 8252 44 0 0
T16 1527 0 0 0
T17 0 1952 0 0
T18 0 13 0 0
T32 834 0 0 0
T50 161748 0 0 0
T51 140178 0 0 0
T60 0 2100 0 0
T61 0 153 0 0
T62 0 1625 0 0
T63 0 46 0 0
T64 0 57 0 0
T65 0 74 0 0
T66 76073 0 0 0
T67 839802 0 0 0
T68 92918 0 0 0
T69 75323 0 0 0
T70 136463 0 0 0
T71 0 764 0 0

scanmodeKnown
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 109736388 0 0
T1 651909 651909 0 0
T2 4727 4727 0 0
T3 212601 212601 0 0
T4 14155 14155 0 0
T5 665039 665039 0 0
T6 307946 307946 0 0
T7 38044 38044 0 0
T8 29474 29474 0 0
T12 14036 14036 0 0
T14 857 857 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%