Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.u_spid_dpram.gen_ram2p.u_memory_2p.u_mem.gen_generic.u_impl_generic

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
u_mem


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : prim_generic_ram_2p
Line No.TotalCoveredPercent
TOTAL2121100.00
CONT_ASSIGN4911100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN6111100.00
CONT_ASSIGN6111100.00
CONT_ASSIGN6111100.00
CONT_ASSIGN6111100.00
ALWAYS7666100.00
ALWAYS9166100.00

48 logic unused_cfg; 49 1/1 assign unused_cfg = ^cfg_i; Tests: T2  50 51 // Width of internal write mask. Note *_wmask_i input into the module is always assumed 52 // to be the full bit mask. 53 localparam int MaskWidth = Width / DataBitsPerMask; 54 55 logic [Width-1:0] mem [Depth]; 56 logic [MaskWidth-1:0] a_wmask; 57 logic [MaskWidth-1:0] b_wmask; 58 59 for (genvar k = 0; k < MaskWidth; k++) begin : gen_wmask 60 4/4 assign a_wmask[k] = &a_wmask_i[k*DataBitsPerMask +: DataBitsPerMask]; Tests: T1 T2 T3  | T1 T2 T3  | T1 T2 T3  | T1 T2 T3  61 4/4 assign b_wmask[k] = &b_wmask_i[k*DataBitsPerMask +: DataBitsPerMask]; Tests: T1 T2 T3  | T1 T2 T3  | T1 T2 T3  | T1 T2 T3  62 63 // Ensure that all mask bits within a group have the same value for a write 64 `ASSERT(MaskCheckPortA_A, a_req_i && a_write_i |-> 65 a_wmask_i[k*DataBitsPerMask +: DataBitsPerMask] inside {{DataBitsPerMask{1'b1}}, '0}, 66 clk_a_i, '0) 67 `ASSERT(MaskCheckPortB_A, b_req_i && b_write_i |-> 68 b_wmask_i[k*DataBitsPerMask +: DataBitsPerMask] inside {{DataBitsPerMask{1'b1}}, '0}, 69 clk_b_i, '0) 70 end 71 72 // Xilinx FPGA specific Dual-port RAM coding style 73 // using always instead of always_ff to avoid 'ICPD - illegal combination of drivers' error 74 // thrown due to 'mem' being driven by two always processes below 75 always @(posedge clk_a_i) begin 76 1/1 if (a_req_i) begin Tests: T1 T2 T3  77 1/1 if (a_write_i) begin Tests: T4 T5 T6  78 1/1 for (int i=0; i < MaskWidth; i = i + 1) begin Tests: T4 T5 T6  79 1/1 if (a_wmask[i]) begin Tests: T4 T5 T6  80 1/1 mem[a_addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= Tests: T4 T5 T6  81 a_wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 82 end ==> MISSING_ELSE 83 end 84 end else begin 85 1/1 a_rdata_o <= mem[a_addr_i]; Tests: T4 T5 T25  86 end 87 end MISSING_ELSE 88 end 89 90 always @(posedge clk_b_i) begin 91 1/1 if (b_req_i) begin Tests: T3 T5 T6  92 1/1 if (b_write_i) begin Tests: T5 T12 T14  93 1/1 for (int i=0; i < MaskWidth; i = i + 1) begin Tests: T5 T20 T29  94 1/1 if (b_wmask[i]) begin Tests: T5 T20 T29  95 1/1 mem[b_addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= Tests: T5 T20 T29  96 b_wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 97 end MISSING_ELSE 98 end 99 end else begin 100 1/1 b_rdata_o <= mem[b_addr_i]; Tests: T5 T12 T14  101 end 102 end MISSING_ELSE

Branch Coverage for Module : prim_generic_ram_2p
Line No.TotalCoveredPercent
Branches 6 6 100.00
IF 76 3 3 100.00
IF 91 3 3 100.00


76 if (a_req_i) begin -1- 77 if (a_write_i) begin -2- 78 for (int i=0; i < MaskWidth; i = i + 1) begin ==> 79 if (a_wmask[i]) begin 80 mem[a_addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= 81 a_wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 82 end 83 end 84 end else begin 85 a_rdata_o <= mem[a_addr_i]; ==> 86 end 87 end MISSING_ELSE ==>

Branches:
-1--2-StatusTests
1 1 Covered T4,T5,T6
1 0 Covered T4,T5,T25
0 - Covered T1,T2,T3


91 if (b_req_i) begin -1- 92 if (b_write_i) begin -2- 93 for (int i=0; i < MaskWidth; i = i + 1) begin ==> 94 if (b_wmask[i]) begin 95 mem[b_addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= 96 b_wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 97 end 98 end 99 end else begin 100 b_rdata_o <= mem[b_addr_i]; ==> 101 end 102 end MISSING_ELSE ==>

Branches:
-1--2-StatusTests
1 1 Covered T5,T20,T29
1 0 Covered T5,T12,T14
0 - Covered T3,T5,T6


Assert Coverage for Module : prim_generic_ram_2p
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 8 8 100.00 8 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 8 8 100.00 8 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
gen_wmask[0].MaskCheckPortA_A 484499929 2074618 0 0
gen_wmask[0].MaskCheckPortB_A 146705487 1215688 0 0
gen_wmask[1].MaskCheckPortA_A 484499929 2074618 0 0
gen_wmask[1].MaskCheckPortB_A 146705487 1215688 0 0
gen_wmask[2].MaskCheckPortA_A 484499929 2074618 0 0
gen_wmask[2].MaskCheckPortB_A 146705487 1215688 0 0
gen_wmask[3].MaskCheckPortA_A 484499929 2074618 0 0
gen_wmask[3].MaskCheckPortB_A 146705487 1215688 0 0


gen_wmask[0].MaskCheckPortA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 484499929 2074618 0 0
T4 2657 100 0 0
T5 2146 37 0 0
T6 4458 832 0 0
T7 1068 0 0 0
T8 3951 0 0 0
T9 11311 832 0 0
T10 43139 832 0 0
T11 1792 0 0 0
T12 22096 832 0 0
T14 0 16 0 0
T15 0 832 0 0
T16 0 832 0 0
T25 2418 100 0 0

gen_wmask[0].MaskCheckPortB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 146705487 1215688 0 0
T5 2912 119 0 0
T6 5232 0 0 0
T9 5758 0 0 0
T10 4176 0 0 0
T12 12573 0 0 0
T13 15465 0 0 0
T14 592 0 0 0
T15 33752 0 0 0
T16 7272 0 0 0
T17 103604 0 0 0
T20 0 1032 0 0
T22 0 1540 0 0
T29 0 30 0 0
T30 0 549 0 0
T32 0 2971 0 0
T45 0 3082 0 0
T50 0 6926 0 0
T60 0 128 0 0
T70 0 167 0 0

gen_wmask[1].MaskCheckPortA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 484499929 2074618 0 0
T4 2657 100 0 0
T5 2146 37 0 0
T6 4458 832 0 0
T7 1068 0 0 0
T8 3951 0 0 0
T9 11311 832 0 0
T10 43139 832 0 0
T11 1792 0 0 0
T12 22096 832 0 0
T14 0 16 0 0
T15 0 832 0 0
T16 0 832 0 0
T25 2418 100 0 0

gen_wmask[1].MaskCheckPortB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 146705487 1215688 0 0
T5 2912 119 0 0
T6 5232 0 0 0
T9 5758 0 0 0
T10 4176 0 0 0
T12 12573 0 0 0
T13 15465 0 0 0
T14 592 0 0 0
T15 33752 0 0 0
T16 7272 0 0 0
T17 103604 0 0 0
T20 0 1032 0 0
T22 0 1540 0 0
T29 0 30 0 0
T30 0 549 0 0
T32 0 2971 0 0
T45 0 3082 0 0
T50 0 6926 0 0
T60 0 128 0 0
T70 0 167 0 0

gen_wmask[2].MaskCheckPortA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 484499929 2074618 0 0
T4 2657 100 0 0
T5 2146 37 0 0
T6 4458 832 0 0
T7 1068 0 0 0
T8 3951 0 0 0
T9 11311 832 0 0
T10 43139 832 0 0
T11 1792 0 0 0
T12 22096 832 0 0
T14 0 16 0 0
T15 0 832 0 0
T16 0 832 0 0
T25 2418 100 0 0

gen_wmask[2].MaskCheckPortB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 146705487 1215688 0 0
T5 2912 119 0 0
T6 5232 0 0 0
T9 5758 0 0 0
T10 4176 0 0 0
T12 12573 0 0 0
T13 15465 0 0 0
T14 592 0 0 0
T15 33752 0 0 0
T16 7272 0 0 0
T17 103604 0 0 0
T20 0 1032 0 0
T22 0 1540 0 0
T29 0 30 0 0
T30 0 549 0 0
T32 0 2971 0 0
T45 0 3082 0 0
T50 0 6926 0 0
T60 0 128 0 0
T70 0 167 0 0

gen_wmask[3].MaskCheckPortA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 484499929 2074618 0 0
T4 2657 100 0 0
T5 2146 37 0 0
T6 4458 832 0 0
T7 1068 0 0 0
T8 3951 0 0 0
T9 11311 832 0 0
T10 43139 832 0 0
T11 1792 0 0 0
T12 22096 832 0 0
T14 0 16 0 0
T15 0 832 0 0
T16 0 832 0 0
T25 2418 100 0 0

gen_wmask[3].MaskCheckPortB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 146705487 1215688 0 0
T5 2912 119 0 0
T6 5232 0 0 0
T9 5758 0 0 0
T10 4176 0 0 0
T12 12573 0 0 0
T13 15465 0 0 0
T14 592 0 0 0
T15 33752 0 0 0
T16 7272 0 0 0
T17 103604 0 0 0
T20 0 1032 0 0
T22 0 1540 0 0
T29 0 30 0 0
T30 0 549 0 0
T32 0 2971 0 0
T45 0 3082 0 0
T50 0 6926 0 0
T60 0 128 0 0
T70 0 167 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%