Line Coverage for Module : 
prim_mubi8_sync
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 3 | 3 | 100.00 | 
| CONT_ASSIGN | 124 | 1 | 1 | 100.00 | 
| ALWAYS | 128 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 168 | 1 | 1 | 100.00 | 
123                         end else begin : gen_no_stable_chks
124        1/1                assign mubi = mubi_sync;
           Tests:       T1 T2 T3 
125                     `ifdef INC_ASSERT
126                           mubi8_t mubi_in_sva_q;
127                           always_ff @(posedge clk_i) begin
128        1/1                  mubi_in_sva_q <= mubi_i;
           Tests:       T1 T2 T3 
129                           end
130                           `ASSERT(OutputDelay_A,
131                                   rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132                                                   $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133                     `endif
134                         end
135                       end else begin : gen_no_flops
136                     
137                         //VCS coverage off
138                         // pragma coverage off
139                     
140                         // This unused companion logic helps remove lint errors
141                         // for modules where clock and reset are used for assertions only
142                         // This logic will be removed for synthesis since it is unloaded.
143                         mubi8_t unused_logic;
144                         always_ff @(posedge clk_i or negedge rst_ni) begin
145                           if (!rst_ni) begin
146                              unused_logic <= MuBi8False;
147                           end else begin
148                              unused_logic <= mubi_i;
149                           end
150                         end
151                     
152                         //VCS coverage on
153                         // pragma coverage on
154                     
155                         assign mubi = MuBi8Width'(mubi_i);
156                     
157                         `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158                       end
159                     
160                       for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161                         logic [MuBi8Width-1:0] mubi_out;
162                         for (genvar k = 0; k < MuBi8Width; k++) begin : gen_bits
163                           prim_buf u_prim_buf (
164                             .in_i(mubi[k]),
165                             .out_o(mubi_out[k])
166                           );
167                         end
168        1/1              assign mubi_o[j] = mubi8_t'(mubi_out);
           Tests:       T1 T2 T3 
Assert Coverage for Module : 
prim_mubi8_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
902 | 
902 | 
0 | 
0 | 
| T1 | 
1 | 
1 | 
0 | 
0 | 
| T2 | 
1 | 
1 | 
0 | 
0 | 
| T3 | 
1 | 
1 | 
0 | 
0 | 
| T4 | 
1 | 
1 | 
0 | 
0 | 
| T5 | 
1 | 
1 | 
0 | 
0 | 
| T8 | 
1 | 
1 | 
0 | 
0 | 
| T11 | 
1 | 
1 | 
0 | 
0 | 
| T12 | 
1 | 
1 | 
0 | 
0 | 
| T13 | 
1 | 
1 | 
0 | 
0 | 
| T14 | 
1 | 
1 | 
0 | 
0 | 
OutputsKnown_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
1226439674 | 
1226339439 | 
0 | 
0 | 
| T1 | 
39784 | 
39713 | 
0 | 
0 | 
| T2 | 
1047 | 
985 | 
0 | 
0 | 
| T3 | 
33799 | 
33723 | 
0 | 
0 | 
| T4 | 
67132 | 
67069 | 
0 | 
0 | 
| T5 | 
20065 | 
17237 | 
0 | 
0 | 
| T8 | 
70431 | 
70380 | 
0 | 
0 | 
| T11 | 
86973 | 
86918 | 
0 | 
0 | 
| T12 | 
78596 | 
78539 | 
0 | 
0 | 
| T13 | 
33769 | 
33708 | 
0 | 
0 | 
| T14 | 
130831 | 
130746 | 
0 | 
0 | 
gen_flops.gen_no_stable_chks.OutputDelay_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
1226439674 | 
1226325962 | 
0 | 
2706 | 
| T1 | 
39784 | 
39710 | 
0 | 
3 | 
| T2 | 
1047 | 
982 | 
0 | 
3 | 
| T3 | 
33799 | 
33720 | 
0 | 
3 | 
| T4 | 
67132 | 
67066 | 
0 | 
3 | 
| T5 | 
20065 | 
17114 | 
0 | 
3 | 
| T8 | 
70431 | 
70377 | 
0 | 
3 | 
| T11 | 
86973 | 
86915 | 
0 | 
3 | 
| T12 | 
78596 | 
78536 | 
0 | 
3 | 
| T13 | 
33769 | 
33705 | 
0 | 
3 | 
| T14 | 
130831 | 
130743 | 
0 | 
3 |