Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : usbdev
SCORELINECONDTOGGLEFSMBRANCHASSERT
89.84 93.83 70.15 93.58 91.67 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_usbdev_0.1/rtl/usbdev.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut 89.84 93.83 70.15 93.58 91.67 100.00



Module Instance : tb.dut

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
89.84 93.83 70.15 93.58 91.67 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
89.57 96.68 90.75 97.00 60.94 94.71 97.35


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_alert_tx[0].u_prim_alert_sender 100.00 100.00
gen_event 100.00 100.00 100.00
gen_no_stubbed_memory.u_memory_1p 98.96 95.83 100.00 100.00 100.00
gen_no_stubbed_memory.u_tlul2sram 85.91 86.78 75.75 81.11 100.00
i_usbdev_iomux 81.39 100.00 75.56 100.00 50.00
intr_av_out_empty 81.39 90.00 55.56 80.00 100.00
intr_av_overflow 81.25 100.00 25.00 100.00 100.00
intr_av_setup_empty 81.39 90.00 55.56 80.00 100.00
intr_disconnected 93.75 100.00 75.00 100.00 100.00
intr_frame 89.58 100.00 58.33 100.00 100.00
intr_host_lost 89.58 100.00 58.33 100.00 100.00
intr_hw_pkt_received 86.94 90.00 77.78 80.00 100.00
intr_hw_pkt_sent 86.94 90.00 77.78 80.00 100.00
intr_link_in_err 89.58 100.00 58.33 100.00 100.00
intr_link_out_err 89.58 100.00 58.33 100.00 100.00
intr_link_reset 89.58 100.00 58.33 100.00 100.00
intr_link_resume 81.25 100.00 25.00 100.00 100.00
intr_link_suspend 89.58 100.00 58.33 100.00 100.00
intr_powered 89.58 100.00 58.33 100.00 100.00
intr_rx_bitstuff_err 89.58 100.00 58.33 100.00 100.00
intr_rx_crc_err 93.75 100.00 75.00 100.00 100.00
intr_rx_full 73.06 90.00 22.22 80.00 100.00
intr_rx_pid_err 89.58 100.00 58.33 100.00 100.00
tlul_assert_device 100.00 100.00 100.00 100.00
u_ctr_errors 57.70 84.21 33.33 55.56
u_ctr_in 51.16 78.95 20.00 54.55
u_ctr_nodata_in 52.92 84.21 20.00 54.55
u_ctr_out 51.16 78.95 20.00 54.55
u_reg 95.99 98.04 97.10 100.00 97.73 87.10
usbdev_avoutfifo 96.32 100.00 85.29 100.00 100.00
usbdev_avsetupfifo 96.32 100.00 85.29 100.00 100.00
usbdev_csr_assert 100.00 100.00
usbdev_impl 86.81 95.32 88.54 60.94 89.23 100.00
usbdev_rxfifo 89.47 95.12 77.78 85.00 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : usbdev
Line No.TotalCoveredPercent
TOTAL16215293.83
CONT_ASSIGN12311100.00
CONT_ASSIGN16711100.00
CONT_ASSIGN22011100.00
ALWAYS22255100.00
CONT_ASSIGN25711100.00
CONT_ASSIGN25811100.00
CONT_ASSIGN25911100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26411100.00
CONT_ASSIGN26611100.00
CONT_ASSIGN26811100.00
CONT_ASSIGN31611100.00
CONT_ASSIGN32111100.00
CONT_ASSIGN32411100.00
CONT_ASSIGN32711100.00
CONT_ASSIGN35211100.00
CONT_ASSIGN35311100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35511100.00
CONT_ASSIGN35611100.00
CONT_ASSIGN360100.00
ALWAYS38300
ALWAYS38333100.00
ALWAYS39100
ALWAYS39144100.00
ALWAYS40000
ALWAYS40033100.00
ALWAYS40700
ALWAYS40733100.00
ALWAYS41400
ALWAYS41433100.00
ALWAYS42100
ALWAYS42122100.00
ALWAYS43455100.00
CONT_ASSIGN44211100.00
CONT_ASSIGN44311100.00
CONT_ASSIGN44711100.00
CONT_ASSIGN44811100.00
CONT_ASSIGN44911100.00
CONT_ASSIGN45111100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN45711100.00
CONT_ASSIGN45811100.00
CONT_ASSIGN46011100.00
ALWAYS46433100.00
ALWAYS47100
ALWAYS47133100.00
ALWAYS48033100.00
ALWAYS49233100.00
ALWAYS49900
ALWAYS49933100.00
ALWAYS5061010100.00
ALWAYS52533100.00
ALWAYS53200
ALWAYS53233100.00
ALWAYS54000
ALWAYS54033100.00
ALWAYS54900
ALWAYS54933100.00
CONT_ASSIGN55911100.00
CONT_ASSIGN56011100.00
CONT_ASSIGN56111100.00
CONT_ASSIGN68411100.00
CONT_ASSIGN68511100.00
CONT_ASSIGN68711100.00
CONT_ASSIGN68811100.00
CONT_ASSIGN70611100.00
CONT_ASSIGN70911100.00
ALWAYS71500
ALWAYS71588100.00
CONT_ASSIGN79611100.00
CONT_ASSIGN79711100.00
CONT_ASSIGN79811100.00
CONT_ASSIGN79911100.00
CONT_ASSIGN80711100.00
ALWAYS81688100.00
CONT_ASSIGN83011100.00
CONT_ASSIGN83100
CONT_ASSIGN83411100.00
CONT_ASSIGN83511100.00
CONT_ASSIGN89211100.00
CONT_ASSIGN89311100.00
CONT_ASSIGN89711100.00
CONT_ASSIGN116011100.00
CONT_ASSIGN116111100.00
CONT_ASSIGN116211100.00
CONT_ASSIGN116311100.00
CONT_ASSIGN120311100.00
CONT_ASSIGN120611100.00
CONT_ASSIGN121511100.00
ALWAYS12185360.00
ALWAYS122733100.00
CONT_ASSIGN124011100.00
CONT_ASSIGN124311100.00
CONT_ASSIGN125011100.00
ALWAYS125433100.00
CONT_ASSIGN126111100.00
CONT_ASSIGN126611100.00
CONT_ASSIGN126811100.00
CONT_ASSIGN1276100.00
CONT_ASSIGN1278100.00
CONT_ASSIGN1280100.00
CONT_ASSIGN1282100.00
CONT_ASSIGN1292100.00
CONT_ASSIGN1296100.00
CONT_ASSIGN1299100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_usbdev_0.1/rtl/usbdev.sv' or '../src/lowrisc_ip_usbdev_0.1/rtl/usbdev.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
123 1 1
167 1 1
220 1 1
222 1 1
223 1 1
225 1 1
226 1 1
228 1 1
257 1 1
258 1 1
259 1 1
263 1 1
264 1 1
266 1 1
268 1 1
316 1 1
321 1 1
324 1 1
327 1 1
352 1 1
353 1 1
354 1 1
355 1 1
356 1 1
360 0 1
383 1 1
384 1 1
385 1 1
391 1 1
392 1 1
393 1 1
394 1 1
400 1 1
401 1 1
402 1 1
407 1 1
408 1 1
409 1 1
414 1 1
415 1 1
416 1 1
421 1 1
422 1 1
434 1 1
435 1 1
436 1 1
438 1 1
439 1 1
442 1 1
443 1 1
447 1 1
448 1 1
449 1 1
451 1 1
456 1 1
457 1 1
458 1 1
460 1 1
464 1 1
465 1 1
466 1 1
MISSING_ELSE
471 1 1
472 1 1
473 1 1
480 1 1
481 1 1
482 1 1
492 1 1
493 1 1
494 1 1
MISSING_ELSE
499 1 1
500 1 1
501 1 1
506 1 1
507 1 1
508 1 1
509 1 1
510 1 1
512 1 1
514 1 1
515 1 1
516 1 1
518 1 1
MISSING_ELSE
525 1 1
526 2 2
MISSING_ELSE
532 1 1
533 1 1
534 1 1
540 1 1
541 1 1
542 1 1
549 1 1
550 1 1
551 1 1
559 1 1
560 1 1
561 1 1
684 1 1
685 1 1
687 1 1
688 1 1
706 1 1
709 1 1
715 1 1
716 1 1
717 1 1
718 1 1
719 1 1
720 1 1
722 1 1
723 1 1
796 1 1
797 1 1
798 1 1
799 1 1
807 1 1
816 1 1
817 1 1
818 1 1
819 1 1
821 1 1
822 1 1
824 1 1
825 1 1
MISSING_ELSE
830 1 1
831 unreachable
834 1 1
835 1 1
892 1 1
893 1 1
897 1 1
1160 1 1
1161 1 1
1162 1 1
1163 1 1
1203 1 1
1206 1 1
1215 1 1
1218 1 1
1219 1 1
1220 0 1
1221 1 1
1222 0 1
MISSING_ELSE
1227 1 1
1228 1 1
1230 1 1
1240 1 1
1243 1 1
1250 1 1
1254 1 1
1255 1 1
1257 1 1
1261 1 1
1266 1 1
1268 1 1
1276 0 1
1278 0 1
1280 0 1
1282 0 1
1292 0 1
1296 0 1
1299 0 1


Cond Coverage for Module : usbdev
TotalCoveredPercent
Conditions1349470.15
Logical1349470.15
Non-Logical00
Event00

 LINE       167
 EXPRESSION (event_rx_crc5_err | event_rx_crc16_err)
             --------1--------   ---------2--------
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT54,T55,T56
10CoveredT34,T35,T36

 LINE       220
 EXPRESSION (ns_cnt == 6'd47)
            --------1--------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T3,T4

 LINE       257
 EXPRESSION (reg2hw.fifo_ctrl.avsetup_rst.qe & reg2hw.fifo_ctrl.avsetup_rst.q)
             ---------------1---------------   ---------------2--------------
-1--2-StatusTests
01CoveredT57,T58,T59
10CoveredT57,T58,T59
11CoveredT57,T58,T59

 LINE       258
 EXPRESSION (reg2hw.fifo_ctrl.avout_rst.qe & reg2hw.fifo_ctrl.avout_rst.q)
             --------------1--------------   --------------2-------------
-1--2-StatusTests
01CoveredT57,T58,T59
10CoveredT57,T58,T59
11CoveredT57,T58,T59

 LINE       259
 EXPRESSION (reg2hw.fifo_ctrl.rx_rst.qe & reg2hw.fifo_ctrl.rx_rst.q)
             -------------1------------   ------------2------------
-1--2-StatusTests
01Not Covered
10CoveredT57,T58,T59
11Not Covered

 LINE       263
 EXPRESSION (connect_en & ((~avsetup_rvalid)))
             -----1----   ---------2---------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT19,T37,T57
11CoveredT2,T3,T4

 LINE       264
 EXPRESSION (connect_en & ((~avout_rvalid)))
             -----1----   --------2--------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T3,T4
11CoveredT2,T3,T4

 LINE       266
 EXPRESSION ((reg2hw.avsetupbuffer.qe & ((~avsetup_fifo_wready))) | (reg2hw.avoutbuffer.qe & ((~avout_fifo_wready))))
             --------------------------1-------------------------   ------------------------2-----------------------
-1--2-StatusTests
00CoveredT2,T3,T4
01Not Covered
10Not Covered

 LINE       266
 SUB-EXPRESSION (reg2hw.avsetupbuffer.qe & ((~avsetup_fifo_wready)))
                 -----------1-----------   ------------2-----------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT19,T37,T57
11Not Covered

 LINE       266
 SUB-EXPRESSION (reg2hw.avoutbuffer.qe & ((~avout_fifo_wready)))
                 ----------1----------   -----------2----------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T3,T4
11Not Covered

 LINE       268
 EXPRESSION (connect_en & ((~rx_fifo_rvalid)))
             -----1----   ---------2---------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T4,T5
11CoveredT2,T3,T4

 LINE       316
 EXPRESSION (reg2hw.rxfifo.ep.re | reg2hw.rxfifo.setup.re | reg2hw.rxfifo.size.re | reg2hw.rxfifo.buffer.re)
             ---------1---------   -----------2----------   ----------3----------   -----------4-----------
-1--2--3--4-StatusTests
0000CoveredT2,T3,T4
0001Not Covered
0010Not Covered
0100Not Covered
1000Not Covered

 LINE       327
 EXPRESSION (rx_wready & (rx_depth < 4'((RXFifoDepth - 1))))
             ----1----   -----------------2----------------
-1--2-StatusTests
01CoveredT2,T3,T4
10Not Covered
11CoveredT2,T3,T4

 LINE       442
 EXPRESSION (in_xact_starting ? in_buf[in_xact_start_ep] : in_buf_q)
             --------1-------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT4,T5,T17

 LINE       443
 EXPRESSION (in_xact_starting ? in_size[in_xact_start_ep] : in_size_q)
             --------1-------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT4,T5,T17

 LINE       447
 EXPRESSION (reg2hw.out_data_toggle.status.qe & reg2hw.out_data_toggle.mask.qe)
             ----------------1---------------   ---------------2--------------
-1--2-StatusTests
01Not Covered
10Not Covered
11CoveredT17,T39,T40

 LINE       456
 EXPRESSION (reg2hw.in_data_toggle.status.qe & reg2hw.in_data_toggle.mask.qe)
             ---------------1---------------   --------------2--------------
-1--2-StatusTests
01Not Covered
10Not Covered
11CoveredT17,T39,T40

 LINE       465
 EXPRESSION (in_ep_xact_end && in_endpoint_val)
             -------1------    -------2-------
-1--2-StatusTests
01CoveredT2,T3,T4
10Unreachable
11CoveredT4,T5,T17

 LINE       493
 EXPRESSION (rx_wvalid && out_endpoint_val)
             ----1----    --------2-------
-1--2-StatusTests
01CoveredT2,T3,T4
10Unreachable
11CoveredT2,T4,T5

 LINE       512
 EXPRESSION (setup_received & out_endpoint_val)
             -------1------   --------2-------
-1--2-StatusTests
01CoveredT2,T3,T4
10Unreachable
11CoveredT19,T37,T38

 LINE       516
 EXPRESSION (in_ep_xact_end & in_endpoint_val)
             -------1------   -------2-------
-1--2-StatusTests
01CoveredT2,T3,T4
10Unreachable
11CoveredT4,T5,T17

 LINE       542
 EXPRESSION (reg2hw.configin[i].rdy.q | reg2hw.configin[i].pend.q)
             ------------1-----------   ------------2------------
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT38,T48,T60
10CoveredT4,T5,T17

 LINE       550
 EXPRESSION (set_sending[i] | set_sentbit[i] | update_pend[i])
             -------1------   -------2------   -------3------
-1--2--3-StatusTests
000CoveredT2,T3,T4
001CoveredT2,T3,T4
010CoveredT4,T5,T17
100CoveredT4,T5,T17

 LINE       551
 EXPRESSION (((~set_sentbit[i])) & ((~update_pend[i])))
             ---------1---------   ---------2---------
-1--2-StatusTests
01CoveredT4,T5,T17
10CoveredT2,T3,T4
11CoveredT2,T3,T4

 LINE       560
 EXPRESSION (cfg_pinflip ? 1'b0 : usb_pullup_en)
             -----1-----
-1-StatusTests
0CoveredT2,T3,T4
1Not Covered

 LINE       561
 EXPRESSION (((!cfg_pinflip)) ? 1'b0 : usb_pullup_en)
             --------1-------
-1-StatusTests
0Not Covered
1CoveredT2,T3,T4

 LINE       688
 EXPRESSION (reg2hw.usbctrl.resume_link_active.qe & reg2hw.usbctrl.resume_link_active.q)
             ------------------1-----------------   -----------------2-----------------
-1--2-StatusTests
01Not Covered
10CoveredT2,T3,T4
11Not Covered

 LINE       796
 EXPRESSION (usb_mem_b_req | sw_mem_a_req)
             ------1------   ------2-----
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT2,T4,T17
10CoveredT2,T3,T4

 LINE       797
 EXPRESSION (usb_mem_b_req ? usb_mem_b_write : sw_mem_a_write)
             ------1------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T3,T4

 LINE       798
 EXPRESSION (usb_mem_b_req ? usb_mem_b_addr : sw_mem_a_addr)
             ------1------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T3,T4

 LINE       799
 EXPRESSION (usb_mem_b_req ? usb_mem_b_wdata : sw_mem_a_wdata)
             ------1------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T3,T4

 LINE       822
 EXPRESSION (usb_mem_b_req & ((!usb_mem_b_write)))
             ------1------   ----------2---------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T3,T4
11CoveredT4,T5,T17

 LINE       830
 EXPRESSION (gen_no_stubbed_memory.mem_rvalid & ((!gen_no_stubbed_memory.mem_rsteering)))
             ----------------1---------------   --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT4,T5,T17
11CoveredT2,T4,T17

 LINE       835
 EXPRESSION (gen_no_stubbed_memory.mem_b_read_q ? gen_no_stubbed_memory.mem_rdata : gen_no_stubbed_memory.mem_b_rdata_q)
             -----------------1----------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT4,T5,T17

 LINE       897
 SUB-EXPRESSION (reg2hw.alert_test.q & reg2hw.alert_test.qe)
                 ---------1---------   ----------2---------
-1--2-StatusTests
01Not Covered
10CoveredT2,T3,T4
11Not Covered

 LINE       1206
 EXPRESSION (use_diff_rcvr & ((~link_suspend)))
             ------1------   --------2--------
-1--2-StatusTests
01CoveredT2,T3,T4
10Not Covered
11Not Covered

 LINE       1215
 EXPRESSION (usb_rcvr_ok_counter_q == '0)
            --------------1--------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T3,T4

 LINE       1219
 EXPRESSION (use_diff_rcvr & ((!usb_rx_enable_o)))
             ------1------   ----------2---------
-1--2-StatusTests
01CoveredT2,T3,T4
10Not Covered
11Not Covered

 LINE       1221
 EXPRESSION (us_tick && (usb_rcvr_ok_counter_q > '0))
             ---1---    --------------2-------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T3,T4
11Not Covered

 LINE       1243
 EXPRESSION (usb_ref_disable ? 1'b0 : event_sof)
             -------1-------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT10,T61,T41

 LINE       1250
 EXPRESSION (usb_ref_pulse_o ? 1'b1 : ((((!link_active)) || host_lost || usb_ref_disable) ? 1'b0 : usb_ref_val_q))
             -------1-------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT8,T9,T10

 LINE       1250
 SUB-EXPRESSION ((((!link_active)) || host_lost || usb_ref_disable) ? 1'b0 : usb_ref_val_q)
                 -------------------------1------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T3,T4

 LINE       1250
 SUB-EXPRESSION (((!link_active)) || host_lost || usb_ref_disable)
                 --------1-------    ----2----    -------3-------
-1--2--3-StatusTests
000CoveredT2,T3,T4
001CoveredT10,T61,T41
010CoveredT4,T15,T16
100CoveredT2,T3,T4

 LINE       1266
 EXPRESSION (reg2hw.wake_control.suspend_req.qe & reg2hw.wake_control.suspend_req.q)
             -----------------1----------------   ----------------2----------------
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       1268
 EXPRESSION (reg2hw.wake_control.wake_ack.qe & reg2hw.wake_control.wake_ack.q)
             ---------------1---------------   ---------------2--------------
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       1305
 EXPRESSION (reg2hw.count_out.rst.qe & reg2hw.count_out.rst.q)
             -----------1-----------   -----------2----------
-1--2-StatusTests
01CoveredT2,T3,T4
10Not Covered
11Not Covered

 LINE       1331
 EXPRESSION (reg2hw.count_in.rst.qe & reg2hw.count_in.rst.q)
             -----------1----------   ----------2----------
-1--2-StatusTests
01CoveredT2,T3,T4
10Not Covered
11Not Covered

 LINE       1354
 EXPRESSION (reg2hw.count_nodata_in.rst.qe & reg2hw.count_nodata_in.rst.q)
             --------------1--------------   --------------2-------------
-1--2-StatusTests
01CoveredT2,T3,T4
10Not Covered
11Not Covered

 LINE       1371
 EXPRESSION (reg2hw.count_errors.rst.qe & reg2hw.count_errors.rst.q)
             -------------1------------   ------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10Not Covered
11Not Covered

Toggle Coverage for Module : usbdev
TotalCoveredPercent
Totals 72 64 88.89
Total Bits 436 408 93.58
Total Bits 0->1 218 204 93.58
Total Bits 1->0 218 204 93.58

Ports 72 64 88.89
Port Bits 436 408 93.58
Port Bits 0->1 218 204 93.58
Port Bits 1->0 218 204 93.58

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
rst_ni Yes Yes T62,T63,T64 Yes T2,T3,T4 INPUT
clk_aon_i Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
rst_aon_ni Yes Yes T62,T63,T64 Yes T2,T3,T4 INPUT
tl_i.d_ready Yes Yes T3,T17,T18 Yes T2,T3,T4 INPUT
tl_i.a_user.data_intg[6:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
tl_i.a_user.cmd_intg[6:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
tl_i.a_user.instr_type[3:0] Yes Yes T2,T19,T21 Yes T2,T19,T21 INPUT
tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_data[31:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
tl_i.a_mask[3:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
tl_i.a_address[31:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
tl_i.a_source[7:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
tl_i.a_size[1:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_opcode[2:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
tl_i.a_valid Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
tl_o.a_ready Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
tl_o.d_error Yes Yes T65,T66,T67 Yes T65,T66,T67 OUTPUT
tl_o.d_user.data_intg[6:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
tl_o.d_user.rsp_intg[5:0] Yes Yes *T2,*T3,*T4 Yes T2,T3,T4 OUTPUT
tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_data[31:0] Yes Yes T2,T3,T4 Yes T2,T4,T5 OUTPUT
tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
tl_o.d_source[7:0] Yes Yes T3,T4,T17 Yes T3,T4,T17 OUTPUT
tl_o.d_size[1:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_opcode[0] Yes Yes *T2,*T3,*T4 Yes T2,T3,T4 OUTPUT
tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_valid Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
alert_rx_i[0].ack_n Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
alert_rx_i[0].ack_p Yes Yes T62,T63,T64 Yes T62,T63,T64 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
alert_tx_o[0].alert_p Yes Yes T62,T63,T64 Yes T62,T63,T64 OUTPUT
cio_usb_dp_i Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
cio_usb_dn_i Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
usb_rx_d_i No No No INPUT
cio_usb_dp_o Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
cio_usb_dp_en_o Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
cio_usb_dn_o Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
cio_usb_dn_en_o Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
usb_tx_se0_o Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
usb_tx_d_o Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
cio_sense_i Yes Yes T8,T9,T11 Yes T2,T3,T4 INPUT
usb_dp_pullup_o Yes Yes T8,T12,T13 Yes T2,T3,T4 OUTPUT
usb_dn_pullup_o Yes Yes T68,T69 Yes T68,T69 OUTPUT
usb_rx_enable_o Yes Yes T70,T71,T72 Yes T70,T71,T72 OUTPUT
usb_tx_use_d_se0_o Yes Yes T67,T70,T73 Yes T67,T70,T74 OUTPUT
usb_aon_suspend_req_o Yes Yes T1 Yes T1 OUTPUT
usb_aon_wake_ack_o Yes Yes T70,T74,T72 Yes T70,T74,T72 OUTPUT
usb_aon_bus_reset_i No No No INPUT
usb_aon_sense_lost_i No No No INPUT
usb_aon_bus_not_idle_i No No No INPUT
usb_aon_wake_detect_active_i Yes Yes T1 Yes T1 INPUT
usb_ref_val_o Yes Yes T8,T9,T10 Yes T8,T9,T10 OUTPUT
usb_ref_pulse_o Yes Yes T8,T9,T10 Yes T8,T9,T10 OUTPUT
ram_cfg_i.rf_cfg.cfg[3:0] No No No INPUT
ram_cfg_i.rf_cfg.cfg_en No No No INPUT
ram_cfg_i.ram_cfg.cfg[3:0] No No No INPUT
ram_cfg_i.ram_cfg.cfg_en No No No INPUT
intr_pkt_received_o Yes Yes T19,T75,T76 Yes T19,T75,T76 OUTPUT
intr_pkt_sent_o Yes Yes T5,T77,T78 Yes T5,T77,T78 OUTPUT
intr_powered_o Yes Yes T79,T80,T81 Yes T79,T80,T81 OUTPUT
intr_disconnected_o Yes Yes T8,T9,T11 Yes T8,T9,T11 OUTPUT
intr_host_lost_o Yes Yes T82,T79,T83 Yes T82,T79,T83 OUTPUT
intr_link_reset_o Yes Yes T82,T79,T81 Yes T82,T79,T81 OUTPUT
intr_link_suspend_o Yes Yes T82,T80,T84 Yes T82,T80,T84 OUTPUT
intr_link_resume_o Yes Yes T79,T1,T83 Yes T79,T1,T83 OUTPUT
intr_av_out_empty_o Yes Yes T82,T79,T80 Yes T82,T79,T80 OUTPUT
intr_rx_full_o Yes Yes T79,T81,T84 Yes T79,T81,T84 OUTPUT
intr_av_overflow_o Yes Yes T82,T79,T81 Yes T82,T79,T81 OUTPUT
intr_link_in_err_o Yes Yes T80,T81,T84 Yes T80,T81,T84 OUTPUT
intr_link_out_err_o Yes Yes T82,T81,T83 Yes T82,T81,T83 OUTPUT
intr_rx_crc_err_o Yes Yes T34,T35,T36 Yes T34,T35,T36 OUTPUT
intr_rx_pid_err_o Yes Yes T79,T80,T81 Yes T79,T80,T81 OUTPUT
intr_rx_bitstuff_err_o Yes Yes T82,T79,T81 Yes T82,T79,T81 OUTPUT
intr_frame_o Yes Yes T82,T79,T84 Yes T82,T79,T84 OUTPUT
intr_av_setup_empty_o Yes Yes T79,T81,T83 Yes T79,T81,T83 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Module : usbdev
Line No.TotalCoveredPercent
Branches 48 44 91.67
TERNARY 442 2 2 100.00
TERNARY 443 2 2 100.00
TERNARY 560 2 1 50.00
TERNARY 561 2 1 50.00
TERNARY 1243 2 2 100.00
TERNARY 1250 3 3 100.00
TERNARY 797 2 2 100.00
TERNARY 798 2 2 100.00
TERNARY 799 2 2 100.00
TERNARY 835 2 2 100.00
IF 222 3 3 100.00
IF 434 2 2 100.00
IF 465 2 2 100.00
IF 493 2 2 100.00
IF 508 4 4 100.00
IF 526 2 2 100.00
IF 718 2 2 100.00
IF 1219 3 1 33.33
IF 1227 2 2 100.00
IF 1254 2 2 100.00
IF 816 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_usbdev_0.1/rtl/usbdev.sv' or '../src/lowrisc_ip_usbdev_0.1/rtl/usbdev.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 442 (in_xact_starting) ?

Branches:
-1-StatusTests
1 Covered T4,T5,T17
0 Covered T2,T3,T4


LineNo. Expression -1-: 443 (in_xact_starting) ?

Branches:
-1-StatusTests
1 Covered T4,T5,T17
0 Covered T2,T3,T4


LineNo. Expression -1-: 560 (cfg_pinflip) ?

Branches:
-1-StatusTests
1 Not Covered
0 Covered T2,T3,T4


LineNo. Expression -1-: 561 ((!cfg_pinflip)) ?

Branches:
-1-StatusTests
1 Covered T2,T3,T4
0 Not Covered


LineNo. Expression -1-: 1243 (usb_ref_disable) ?

Branches:
-1-StatusTests
1 Covered T10,T61,T41
0 Covered T2,T3,T4


LineNo. Expression -1-: 1250 (usb_ref_pulse_o) ? -2-: 1250 ((((!link_active) || host_lost) || usb_ref_disable)) ?

Branches:
-1--2-StatusTests
1 - Covered T8,T9,T10
0 1 Covered T2,T3,T4
0 0 Covered T2,T3,T4


LineNo. Expression -1-: 797 (usb_mem_b_req) ?

Branches:
-1-StatusTests
1 Covered T2,T3,T4
0 Covered T2,T3,T4


LineNo. Expression -1-: 798 (usb_mem_b_req) ?

Branches:
-1-StatusTests
1 Covered T2,T3,T4
0 Covered T2,T3,T4


LineNo. Expression -1-: 799 (usb_mem_b_req) ?

Branches:
-1-StatusTests
1 Covered T2,T3,T4
0 Covered T2,T3,T4


LineNo. Expression -1-: 835 (gen_no_stubbed_memory.mem_b_read_q) ?

Branches:
-1-StatusTests
1 Covered T4,T5,T17
0 Covered T2,T3,T4


LineNo. Expression -1-: 222 if ((!rst_n)) -2-: 225 if (us_tick)

Branches:
-1--2-StatusTests
1 - Covered T2,T3,T4
0 1 Covered T2,T3,T4
0 0 Covered T2,T3,T4


LineNo. Expression -1-: 434 if ((!rst_n))

Branches:
-1-StatusTests
1 Covered T2,T3,T4
0 Covered T2,T3,T4


LineNo. Expression -1-: 465 if ((in_ep_xact_end && in_endpoint_val))

Branches:
-1-StatusTests
1 Covered T4,T5,T17
0 Covered T2,T3,T4


LineNo. Expression -1-: 493 if ((rx_wvalid && out_endpoint_val))

Branches:
-1-StatusTests
1 Covered T2,T4,T5
0 Covered T2,T3,T4


LineNo. Expression -1-: 508 if (event_link_reset) -2-: 512 if ((setup_received & out_endpoint_val)) -3-: 516 if ((in_ep_xact_end & in_endpoint_val))

Branches:
-1--2--3-StatusTests
1 - - Covered T2,T3,T4
0 1 - Covered T19,T37,T38
0 0 1 Covered T4,T5,T17
0 0 0 Covered T2,T3,T4


LineNo. Expression -1-: 526 if (in_xact_starting)

Branches:
-1-StatusTests
1 Covered T4,T5,T17
0 Covered T2,T3,T4


LineNo. Expression -1-: 718 if (((setup_received && out_endpoint_val) && (out_endpoint == 4'((unsigned'(i))))))

Branches:
-1-StatusTests
1 Covered T19,T37,T38
0 Covered T2,T3,T4


LineNo. Expression -1-: 1219 if ((use_diff_rcvr & (!usb_rx_enable_o))) -2-: 1221 if ((us_tick && (usb_rcvr_ok_counter_q > '0)))

Branches:
-1--2-StatusTests
1 - Not Covered
0 1 Not Covered
0 0 Covered T2,T3,T4


LineNo. Expression -1-: 1227 if ((!rst_n))

Branches:
-1-StatusTests
1 Covered T2,T3,T4
0 Covered T2,T3,T4


LineNo. Expression -1-: 1254 if ((!rst_n))

Branches:
-1-StatusTests
1 Covered T2,T3,T4
0 Covered T2,T3,T4


LineNo. Expression -1-: 816 if ((!rst_ni)) -2-: 824 if (gen_no_stubbed_memory.mem_b_read_q)

Branches:
-1--2-StatusTests
1 - Covered T2,T3,T4
0 1 Covered T4,T5,T17
0 0 Covered T2,T3,T4


Assert Coverage for Module : usbdev
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 35 35 100.00 35 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 35 35 100.00 35 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertsKnown_A 724486299 724355018 0 0
CIODnEnKnown_A 724486299 724355018 0 0
CIODnKnown_A 724486299 724355018 0 0
CIODpEnKnown_A 724486299 724355018 0 0
CIODpKnown_A 724486299 724355018 0 0
FpvSecCmRegWeOnehotCheck_A 724486299 60 0 0
TlOAReadyKnown_A 724486299 724355018 0 0
TlODValidKnown_A 724486299 724355018 0 0
USBAonSuspendReqKnown_A 724486299 724355018 0 0
USBAonWakeAckKnown_A 724486299 724355018 0 0
USBDnPUKnown_A 724486299 724355018 0 0
USBDpPUKnown_A 724486299 724355018 0 0
USBIntrAvOutEmptyKnown_A 724486299 724355018 0 0
USBIntrAvOverKnown_A 724486299 724355018 0 0
USBIntrAvSetupEmptyKnown_A 724486299 724355018 0 0
USBIntrDisConKnown_A 724486299 724355018 0 0
USBIntrFrameKnown_A 724486299 724355018 0 0
USBIntrHostLostKnown_A 724486299 724355018 0 0
USBIntrLinkInErrKnown_A 724486299 724355018 0 0
USBIntrLinkOutErrKnown_A 724486299 724355018 0 0
USBIntrLinkResKnown_A 724486299 724355018 0 0
USBIntrLinkRstKnown_A 724486299 724355018 0 0
USBIntrLinkSusKnown_A 724486299 724355018 0 0
USBIntrPktRcvdKnown_A 724486299 724355018 0 0
USBIntrPktSentKnown_A 724486299 724355018 0 0
USBIntrPwrdKnown_A 724486299 724355018 0 0
USBIntrRxBitstuffErrKnown_A 724486299 724355018 0 0
USBIntrRxCrCErrKnown_A 724486299 724355018 0 0
USBIntrRxFullKnown_A 724486299 724355018 0 0
USBIntrRxPidErrKnown_A 724486299 724355018 0 0
USBRefPulseKnown_A 724486299 724355018 0 0
USBRefValKnown_A 724486299 724355018 0 0
USBRxEnableKnown_A 724486299 724355018 0 0
USBTxDKnown_A 724486299 724355018 0 0
USBTxSe0Known_A 724486299 724355018 0 0


AlertsKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

CIODnEnKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

CIODnKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

CIODpEnKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

CIODpKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 60 0 0
T62 8617 10 0 0
T63 0 20 0 0
T64 0 10 0 0
T85 0 10 0 0
T86 0 10 0 0
T87 402184 0 0 0
T88 405335 0 0 0
T89 401524 0 0 0
T90 404264 0 0 0
T91 403245 0 0 0
T92 456617 0 0 0
T93 405846 0 0 0
T94 401712 0 0 0
T95 401611 0 0 0

TlOAReadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

TlODValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBAonSuspendReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBAonWakeAckKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBDnPUKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBDpPUKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBIntrAvOutEmptyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBIntrAvOverKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBIntrAvSetupEmptyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBIntrDisConKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBIntrFrameKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBIntrHostLostKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBIntrLinkInErrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBIntrLinkOutErrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBIntrLinkResKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBIntrLinkRstKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBIntrLinkSusKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBIntrPktRcvdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBIntrPktSentKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBIntrPwrdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBIntrRxBitstuffErrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBIntrRxCrCErrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBIntrRxFullKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBIntrRxPidErrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBRefPulseKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBRefValKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBRxEnableKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBTxDKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

USBTxSe0Known_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 724486299 724355018 0 0
T2 403840 403767 0 0
T3 402866 402788 0 0
T4 956550 956492 0 0
T5 554152 554092 0 0
T17 413886 413835 0 0
T18 408275 408217 0 0
T19 405467 405415 0 0
T20 403721 403628 0 0
T21 401860 401763 0 0
T22 406112 406058 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%