Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : pwrmgr
SCORELINECONDTOGGLEFSMBRANCHASSERT
93.48 93.48

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_pwrmgr_component_0.1/rtl/pwrmgr.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.top_earlgrey.u_pwrmgr_aon 93.48 93.48



Module Instance : tb.dut.top_earlgrey.u_pwrmgr_aon

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
93.48 93.48


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
93.48 93.48


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
94.60 92.83 90.98 100.00 top_earlgrey


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children


Since this is the module's only instance, the coverage report is the same as for the module.
Toggle Coverage for Module : pwrmgr
TotalCoveredPercent
Totals 83 75 90.36
Total Bits 460 430 93.48
Total Bits 0->1 230 215 93.48
Total Bits 1->0 230 215 93.48

Ports 83 75 90.36
Port Bits 460 430 93.48
Port Bits 0->1 230 215 93.48
Port Bits 1->0 230 215 93.48

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_slow_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_slow_ni Yes Yes T4,T5,T6 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T4,T5,T6 Yes T1,T2,T3 INPUT
rst_main_ni Yes Yes T2,T63,T64 Yes T1,T2,T3 INPUT
clk_lc_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_lc_ni Yes Yes T2,T3,T31 Yes T1,T2,T3 INPUT
clk_esc_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_esc_ni Yes Yes T2,T3,T31 Yes T1,T2,T3 INPUT
tl_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T65 Yes T1,T2,T65 INPUT
tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.instr_type[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
tl_i.a_user.instr_type[2:1] No No No INPUT
tl_i.a_user.instr_type[3] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_data[31:0] Yes Yes T1,T2,T65 Yes T1,T2,T65 INPUT
tl_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_address[1:0] No No No INPUT
tl_i.a_address[6:2] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_address[21:7] Unreachable Unreachable Unreachable INPUT
tl_i.a_address[22] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
tl_i.a_address[29:23] Unreachable Unreachable Unreachable INPUT
tl_i.a_address[30] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
tl_i.a_address[31] Unreachable Unreachable Unreachable INPUT
tl_i.a_source[5:0] Yes Yes *T54,*T46,*T55 Yes T54,T46,T55 INPUT
tl_i.a_source[7:6] Unreachable Unreachable Unreachable INPUT
tl_i.a_size[1:0] Yes Yes T28,T29,T30 Yes T28,T29,T30 INPUT
tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_opcode[0] Yes Yes *T46,*T55,*T56 Yes T46,T55,T56 INPUT
tl_i.a_opcode[1] No No No INPUT
tl_i.a_opcode[2] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_valid Yes Yes T1,T2,T99 Yes T1,T2,T99 INPUT
tl_o.a_ready Yes Yes T1,T2,T99 Yes T1,T2,T99 OUTPUT
tl_o.d_error No No No OUTPUT
tl_o.d_user.data_intg[6:0] Yes Yes T1,T2,T65 Yes T1,T2,T65 OUTPUT
tl_o.d_user.rsp_intg[1:0] Yes Yes T1,T2,T65 Yes T1,T2,T99 OUTPUT
tl_o.d_user.rsp_intg[3:2] No No No OUTPUT
tl_o.d_user.rsp_intg[5:4] Yes Yes T5,*T46,*T334 Yes T1,T2,T99 OUTPUT
tl_o.d_user.rsp_intg[6] No No No OUTPUT
tl_o.d_data[31:0] Yes Yes T1,T2,T65 Yes T1,T2,T99 OUTPUT
tl_o.d_sink No No No OUTPUT
tl_o.d_source[1:0] Yes Yes *T46,*T1,*T2 Yes T46,T1,T2 OUTPUT
tl_o.d_source[5:2] No No No OUTPUT
tl_o.d_source[7:6] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_size[0] No No No OUTPUT
tl_o.d_size[1] Yes Yes T5,T46,T334 Yes T1,T2,T99 OUTPUT
tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_opcode[0] Yes Yes *T1,*T2,*T65 Yes T1,T2,T65 OUTPUT
tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_valid Yes Yes T1,T2,T99 Yes T1,T2,T99 OUTPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T99,T275,T335 Yes T99,T275,T335 INPUT
alert_rx_i[0].ping_n Yes Yes T382,T106,T383 Yes T106,T107,T175 INPUT
alert_rx_i[0].ping_p Yes Yes T106,T107,T175 Yes T382,T106,T383 INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T99,T275,T335 Yes T99,T275,T335 OUTPUT
pwr_ast_i.main_pok Yes Yes T2,T63,T64 Yes T1,T2,T3 INPUT
pwr_ast_i.usb_clk_val Yes Yes T1,T2,T65 Yes T1,T2,T3 INPUT
pwr_ast_i.io_clk_val Yes Yes T2,T65,T66 Yes T1,T2,T3 INPUT
pwr_ast_i.core_clk_val Yes Yes T2,T65,T66 Yes T1,T2,T3 INPUT
pwr_ast_i.slow_clk_val Yes Yes T57,T73,T74 Yes T1,T2,T3 INPUT
pwr_ast_o.usb_clk_en Yes Yes T1,T2,T65 Yes T1,T2,T3 OUTPUT
pwr_ast_o.io_clk_en Yes Yes T2,T65,T66 Yes T1,T2,T3 OUTPUT
pwr_ast_o.core_clk_en Yes Yes T2,T65,T66 Yes T1,T2,T3 OUTPUT
pwr_ast_o.slow_clk_en Unreachable Unreachable Unreachable OUTPUT
pwr_ast_o.pwr_clamp Yes Yes T1,T2,T3 Yes T2,T63,T64 OUTPUT
pwr_ast_o.pwr_clamp_env Yes Yes T1,T2,T3 Yes T2,T63,T64 OUTPUT
pwr_ast_o.main_pd_n Yes Yes T2,T63,T64 Yes T2,T63,T64 OUTPUT
pwr_rst_i.rst_sys_src_n[1:0] Yes Yes T2,T3,T31 Yes T1,T2,T3 INPUT
pwr_rst_i.rst_lc_src_n[1:0] Yes Yes T2,T3,T31 Yes T1,T2,T3 INPUT
pwr_rst_o.reset_cause[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
pwr_rst_o.rstreqs[4:0] Yes Yes T275,T335,T334 Yes T275,T335,T334 OUTPUT
pwr_rst_o.rst_sys_req[1:0] Yes Yes T1,T2,T3 Yes T2,T3,T31 OUTPUT
pwr_rst_o.rst_lc_req[1:0] Yes Yes T1,T2,T3 Yes T2,T3,T31 OUTPUT
pwr_clk_o.usb_ip_clk_en Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
pwr_clk_o.io_ip_clk_en Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
pwr_clk_o.main_ip_clk_en Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
pwr_clk_i.usb_status Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
pwr_clk_i.io_status Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
pwr_clk_i.main_status Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
pwr_otp_i.otp_idle Yes Yes T2,T3,T31 Yes T1,T2,T3 INPUT
pwr_otp_i.otp_done Yes Yes T2,T3,T31 Yes T1,T2,T3 INPUT
pwr_otp_o.otp_init Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
pwr_lc_i.lc_idle Yes Yes T2,T3,T31 Yes T1,T2,T3 INPUT
pwr_lc_i.lc_done Yes Yes T2,T3,T31 Yes T1,T2,T3 INPUT
pwr_lc_o.lc_init Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
pwr_flash_i.flash_idle Yes Yes T67,T157,T4 Yes T67,T157,T4 INPUT
pwr_cpu_i.core_sleeping Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
fetch_en_o[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
lc_hw_debug_en_i[3:0] Yes Yes T2,T3,T31 Yes T1,T2,T3 INPUT
lc_dft_en_i[3:0] Yes Yes T2,T3,T31 Yes T1,T2,T3 INPUT
wakeups_i[5:0] Yes Yes T5,T275,T335 Yes T5,T275,T335 INPUT
rstreqs_i[1:0] Yes Yes T275,T335,T334 Yes T275,T335,T334 INPUT
ndmreset_req_i Yes Yes T54,T47,T240 Yes T54,T47,T240 INPUT
strap_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
low_power_o Yes Yes T1,T2,T3 Yes T1,T2,T65 OUTPUT
rom_ctrl_i.good[3:0] Yes Yes T1,T2,T3 Yes T2,T3,T31 INPUT
rom_ctrl_i.done[3:0] Yes Yes T1,T2,T3 Yes T2,T3,T31 INPUT
sw_rst_req_i[3:0] Yes Yes T157,T127,T275 Yes T157,T127,T275 INPUT
esc_rst_tx_i.esc_n Yes Yes T3,T31,T99 Yes T3,T31,T99 INPUT
esc_rst_tx_i.esc_p Yes Yes T3,T31,T99 Yes T3,T31,T99 INPUT
esc_rst_rx_o.resp_n Yes Yes T3,T31,T99 Yes T3,T31,T99 OUTPUT
esc_rst_rx_o.resp_p Yes Yes T3,T31,T99 Yes T3,T31,T99 OUTPUT
intr_wakeup_o Yes Yes T2,T65,T66 Yes T2,T65,T66 OUTPUT

*Tests covering at least one bit in the range
0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%