Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.top_earlgrey.u_pinmux_aon.u_pinmux_strap_sampling

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.41 99.34 100.00 98.31 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.49 99.62 95.65 98.70 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
90.48 99.06 83.49 98.84 78.99 92.00 u_pinmux_aon


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_pinmux_jtag_buf_dft 100.00 100.00
u_pinmux_jtag_buf_lc 100.00 100.00
u_pinmux_jtag_buf_rv 100.00 100.00
u_por_scanmode_sync 100.00 100.00
u_prim_lc_or_hardened 100.00 100.00 100.00 100.00
u_prim_lc_sender_pinmux_hw_debug_en 100.00 100.00 100.00
u_prim_lc_sync_lc_check_byp_en 100.00 100.00 100.00 100.00
u_prim_lc_sync_lc_dft_en 100.00 100.00 100.00 100.00
u_prim_lc_sync_lc_escalate_en 100.00 100.00 100.00 100.00
u_prim_lc_sync_lc_hw_debug_en 100.00 100.00 100.00 100.00
u_prim_lc_sync_pinmux_hw_debug_en 100.00 100.00 100.00
u_rst_por_aon_n_mux 85.19 100.00 55.56 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : pinmux_strap_sampling
Line No.TotalCoveredPercent
TOTAL30330199.34
CONT_ASSIGN13211100.00
CONT_ASSIGN13311100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15711100.00
CONT_ASSIGN18711100.00
CONT_ASSIGN23011100.00
CONT_ASSIGN23211100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24111100.00
CONT_ASSIGN24211100.00
CONT_ASSIGN25911100.00
ALWAYS26299100.00
ALWAYS28399100.00
CONT_ASSIGN30811100.00
ALWAYS3121717100.00
CONT_ASSIGN37111100.00
CONT_ASSIGN37211100.00
CONT_ASSIGN37311100.00
CONT_ASSIGN39611100.00
CONT_ASSIGN39611100.00
CONT_ASSIGN39611100.00
CONT_ASSIGN39611100.00
CONT_ASSIGN39611100.00
CONT_ASSIGN40011100.00
CONT_ASSIGN40111100.00
CONT_ASSIGN40411100.00
CONT_ASSIGN40411100.00
CONT_ASSIGN40411100.00
CONT_ASSIGN40411100.00
CONT_ASSIGN40511100.00
CONT_ASSIGN40511100.00
CONT_ASSIGN40511100.00
CONT_ASSIGN40511100.00
CONT_ASSIGN41211100.00
CONT_ASSIGN41211100.00
CONT_ASSIGN41411100.00
CONT_ASSIGN41411100.00
CONT_ASSIGN41411100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN419100.00
CONT_ASSIGN419100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_pinmux_component_0.1/rtl/pinmux_strap_sampling.sv' or '../src/lowrisc_ip_pinmux_component_0.1/rtl/pinmux_strap_sampling.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
132 1 1
133 1 1
153 1 1
157 1 1
187 1 1
230 1 1
232 1 1
236 1 1
240 1 1
241 1 1
242 1 1
259 1 1
262 1 1
263 1 1
264 1 1
268 1 1
269 1 1
MISSING_ELSE
274 1 1
275 1 1
276 1 1
277 1 1
MISSING_ELSE
MISSING_ELSE
283 1 1
284 1 1
285 1 1
286 1 1
287 1 1
289 1 1
290 1 1
291 1 1
292 1 1
308 1 1
312 1 1
315 1 1
316 1 1
317 1 1
319 1 1
321 1 1
323 1 1
324 1 1
325 1 1
328 1 1
329 1 1
330 1 1
331 1 1
MISSING_ELSE
335 1 1
336 1 1
337 1 1
338 1 1
==> MISSING_ELSE
371 1 1
372 1 1
373 1 1
396 5 5
400 1 1
401 1 1
404 4 4
405 4 4
412 2 2
414 3 3
417 58 58
418 58 58
419 56 58
420 58 58


Cond Coverage for Module : pinmux_strap_sampling
TotalCoveredPercent
Conditions5555100.00
Logical5555100.00
Non-Logical00
Event00

 LINE       230
 EXPRESSION (lc_strap_sample_en ? in_padring_i[30] : tap_strap_q[0])
             ---------1--------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT4,T5,T6

 LINE       232
 EXPRESSION (rv_strap_sample_en ? in_padring_i[27] : tap_strap_q[1])
             ---------1--------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT4,T5,T6

 LINE       236
 EXPRESSION (dft_strap_sample_en ? ({in_padring_i[42], in_padring_i[40]}) : dft_strap_q)
             ---------1---------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT4,T5,T6

 LINE       240
 EXPRESSION (dft_strap_sample_en | dft_strap_valid_q)
             ---------1---------   --------2--------
-1--2-StatusTests
00CoveredT4,T5,T6
01CoveredT4,T5,T6
10CoveredT4,T5,T6

 LINE       268
 EXPRESSION (strap_en_q && tap_sampling_en)
             -----1----    -------2-------
-1--2-StatusTests
01CoveredT4,T5,T6
10CoveredT6,T70,T53
11CoveredT4,T5,T6

 LINE       274
 EXPRESSION (strap_en_q || tap_sampling_en)
             -----1----    -------2-------
-1--2-StatusTests
00CoveredT5,T6,T70
01CoveredT4,T5,T6
10CoveredT6,T70,T53

 LINE       396
 EXPRESSION (jtag_en ? 1'b0 : in_padring_i[35])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT6,T55,T18

 LINE       396
 EXPRESSION (jtag_en ? 1'b0 : in_padring_i[36])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT6,T55,T18

 LINE       396
 EXPRESSION (jtag_en ? 1'b0 : in_padring_i[37])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT6,T55,T18

 LINE       396
 EXPRESSION (jtag_en ? 1'b0 : in_padring_i[38])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT6,T55,T18

 LINE       396
 EXPRESSION (jtag_en ? 1'b0 : in_padring_i[39])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT6,T55,T18

 LINE       400
 EXPRESSION (jtag_en ? jtag_rsp.tdo : out_core_i[36])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT6,T55,T18

 LINE       401
 EXPRESSION (jtag_en ? jtag_rsp.tdo_oe : oe_core_i[36])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT6,T55,T18

 LINE       404
 EXPRESSION (jtag_en ? 1'b0 : out_core_i[35])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT6,T55,T18

 LINE       404
 EXPRESSION (jtag_en ? 1'b0 : out_core_i[37])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT6,T55,T18

 LINE       404
 EXPRESSION (jtag_en ? 1'b0 : out_core_i[38])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT6,T55,T18

 LINE       404
 EXPRESSION (jtag_en ? 1'b0 : out_core_i[39])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT6,T55,T18

 LINE       405
 EXPRESSION (jtag_en ? 1'b0 : oe_core_i[35])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT6,T55,T18

 LINE       405
 EXPRESSION (jtag_en ? 1'b0 : oe_core_i[37])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT6,T55,T18

 LINE       405
 EXPRESSION (jtag_en ? 1'b0 : oe_core_i[38])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT6,T55,T18

 LINE       405
 EXPRESSION (jtag_en ? 1'b0 : oe_core_i[39])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT6,T55,T18

 LINE       412
 EXPRESSION 
 Number  Term
      1  jtag_en ? ('{schmitt_en:1'b1, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0}) : attr_core_i[38])
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT6,T55,T18

 LINE       412
 EXPRESSION 
 Number  Term
      1  jtag_en ? ('{schmitt_en:1'b1, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0}) : attr_core_i[39])
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT6,T55,T18

 LINE       414
 EXPRESSION (jtag_en ? '0 : attr_core_i[35])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT6,T55,T18

 LINE       414
 EXPRESSION (jtag_en ? '0 : attr_core_i[36])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT6,T55,T18

 LINE       414
 EXPRESSION (jtag_en ? '0 : attr_core_i[37])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT6,T55,T18

Branch Coverage for Module : pinmux_strap_sampling
Line No.TotalCoveredPercent
Branches 59 58 98.31
TERNARY 230 2 2 100.00
TERNARY 232 2 2 100.00
TERNARY 236 2 2 100.00
TERNARY 396 2 2 100.00
TERNARY 404 2 2 100.00
TERNARY 405 2 2 100.00
TERNARY 414 2 2 100.00
TERNARY 396 2 2 100.00
TERNARY 400 2 2 100.00
TERNARY 401 2 2 100.00
TERNARY 414 2 2 100.00
TERNARY 396 2 2 100.00
TERNARY 404 2 2 100.00
TERNARY 405 2 2 100.00
TERNARY 414 2 2 100.00
TERNARY 396 2 2 100.00
TERNARY 404 2 2 100.00
TERNARY 405 2 2 100.00
TERNARY 412 2 2 100.00
TERNARY 396 2 2 100.00
TERNARY 404 2 2 100.00
TERNARY 405 2 2 100.00
TERNARY 412 2 2 100.00
IF 268 2 2 100.00
IF 274 3 3 100.00
IF 283 2 2 100.00
CASE 321 6 5 83.33

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_pinmux_component_0.1/rtl/pinmux_strap_sampling.sv' or '../src/lowrisc_ip_pinmux_component_0.1/rtl/pinmux_strap_sampling.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 230 (lc_strap_sample_en) ?

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 232 (rv_strap_sample_en) ?

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 236 (dft_strap_sample_en) ?

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 396 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T6,T55,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 404 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T6,T55,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 405 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T6,T55,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 414 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T6,T55,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 396 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T6,T55,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 400 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T6,T55,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 401 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T6,T55,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 414 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T6,T55,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 396 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T6,T55,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 404 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T6,T55,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 405 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T6,T55,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 414 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T6,T55,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 396 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T6,T55,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 404 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T6,T55,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 405 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T6,T55,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 412 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T6,T55,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 396 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T6,T55,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 404 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T6,T55,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 405 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T6,T55,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 412 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T6,T55,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 268 if ((strap_en_q && tap_sampling_en))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 274 if ((strap_en_q || tap_sampling_en)) -2-: 276 if (lc_ctrl_pkg::lc_tx_test_true_strict(pinmux_hw_debug_en[HwDebugEnSample]))

Branches:
-1--2-StatusTests
1 1 Covered T4,T5,T6
1 0 Covered T4,T5,T6
0 - Covered T5,T6,T70


LineNo. Expression -1-: 283 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 321 case (tap_strap) -2-: 328 if (lc_ctrl_pkg::lc_tx_test_true_strict(pinmux_hw_debug_en[HwDebugEnTapSel])) -3-: 335 if (lc_ctrl_pkg::lc_tx_test_true_strict(lc_dft_en[DftEnTapSel]))

Branches:
-1--2--3-StatusTests
LcTapSel - - Covered T6,T55,T18
RvTapSel 1 - Covered T55,T66,T67
RvTapSel 0 - Covered T68,T761,T762
DftTapSel - 1 Covered T55,T63,T69
DftTapSel - 0 Not Covered
default - - Covered T4,T5,T6


Assert Coverage for Module : pinmux_strap_sampling
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 17 17 100.00 17 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 17 17 100.00 17 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DftTapOff0_A 119281100 37980997 0 280
LcHwDebugEnClear_A 119281100 11902171 0 15
LcHwDebugEnSetRev0_A 119281100 1441 0 100
LcHwDebugEnSetRev1_A 119281100 1441 0 100
LcHwDebugEnSet_A 119281100 1441 0 0
RvTapOff0_A 119281100 250 0 200
RvTapOff1_A 119281100 35185384 0 0
TapStrapKnown_A 119281100 118611072 0 0
dft_strap0_idxRange_A 997 997 0 0
dft_strap1_idxRange_A 997 997 0 0
tap_strap0_idxRange_A 997 997 0 0
tap_strap1_idxRange_A 997 997 0 0
tck_idxRange_A 997 997 0 0
tdi_idxRange_A 997 997 0 0
tdo_idxRange_A 997 997 0 0
tms_idxRange_A 997 997 0 0
trst_idxRange_A 997 997 0 0


DftTapOff0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 119281100 37980997 0 280
T1 165353 19252 0 0
T4 40088 2484 0 0
T5 62766 9919 0 0
T6 993463 20508 0 0
T17 51489 2483 0 0
T18 0 0 0 2
T19 0 0 0 2
T42 121153 4966 0 0
T53 0 0 0 2
T60 0 0 0 2
T61 61403 10068 0 0
T67 0 0 0 2
T70 377862 377557 0 2
T82 58843 2481 0 0
T83 23758 2481 0 0
T114 0 0 0 2
T116 0 0 0 2
T164 0 0 0 2
T223 0 0 0 2

LcHwDebugEnClear_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 119281100 11902171 0 15
T1 165353 0 0 0
T5 62766 4953 0 0
T6 993463 904808 0 0
T17 51489 0 0 0
T18 0 1669 0 1
T42 121153 0 0 0
T60 0 1296 0 1
T61 61403 5104 0 0
T62 0 14706 0 0
T70 377862 0 0 0
T82 58843 0 0 0
T83 23758 0 0 0
T101 21380 0 0 0
T157 0 4981 0 0
T164 0 0 0 1
T170 0 5096 0 0
T173 0 0 0 1
T224 0 5102 0 0
T282 0 0 0 1
T344 0 4983 0 0
T763 0 0 0 1
T764 0 0 0 1
T765 0 0 0 1
T766 0 0 0 1
T767 0 0 0 1

LcHwDebugEnSetRev0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 119281100 1441 0 100
T1 165353 1 0 0
T4 40088 1 0 0
T5 62766 2 0 0
T6 993463 4 0 0
T17 51489 1 0 0
T18 0 0 0 1
T19 0 0 0 1
T42 121153 2 0 0
T51 0 0 0 1
T60 0 0 0 1
T61 61403 2 0 0
T67 0 0 0 1
T70 377862 1 0 0
T82 58843 1 0 0
T83 23758 1 0 0
T164 0 0 0 1
T173 0 0 0 1
T223 0 0 0 1
T240 0 0 0 1
T292 0 0 0 1

LcHwDebugEnSetRev1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 119281100 1441 0 100
T1 165353 1 0 0
T4 40088 1 0 0
T5 62766 2 0 0
T6 993463 4 0 0
T17 51489 1 0 0
T18 0 0 0 1
T19 0 0 0 1
T42 121153 2 0 0
T51 0 0 0 1
T60 0 0 0 1
T61 61403 2 0 0
T67 0 0 0 1
T70 377862 1 0 0
T82 58843 1 0 0
T83 23758 1 0 0
T164 0 0 0 1
T173 0 0 0 1
T223 0 0 0 1
T240 0 0 0 1
T292 0 0 0 1

LcHwDebugEnSet_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 119281100 1441 0 0
T1 165353 1 0 0
T4 40088 1 0 0
T5 62766 2 0 0
T6 993463 4 0 0
T17 51489 1 0 0
T42 121153 2 0 0
T61 61403 2 0 0
T70 377862 1 0 0
T82 58843 1 0 0
T83 23758 1 0 0

RvTapOff0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 119281100 250 0 200
T1 165353 0 0 0
T6 993463 1 0 0
T17 51489 0 0 0
T18 0 2 0 2
T19 0 0 0 2
T42 121153 0 0 0
T51 0 0 0 2
T60 0 1 0 2
T61 61403 0 0 0
T67 0 0 0 2
T70 377862 0 0 0
T82 58843 0 0 0
T83 23758 0 0 0
T101 21380 0 0 0
T102 21755 0 0 0
T114 0 2 0 0
T164 0 1 0 2
T171 0 1 0 0
T173 0 2 0 2
T185 0 2 0 0
T223 0 1 0 2
T240 0 1 0 2
T292 0 0 0 2

RvTapOff1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 119281100 35185384 0 0
T1 165353 2967 0 0
T4 40088 2992 0 0
T5 62766 10317 0 0
T6 993463 925021 0 0
T17 51489 3005 0 0
T42 121153 5513 0 0
T61 61403 10470 0 0
T70 377862 2696 0 0
T82 58843 2860 0 0
T83 23758 2830 0 0

TapStrapKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 119281100 118611072 0 0
T1 165353 164899 0 0
T4 40088 39484 0 0
T5 62766 62306 0 0
T6 993463 991787 0 0
T17 51489 50885 0 0
T42 121153 120737 0 0
T61 61403 60794 0 0
T70 377862 377559 0 0
T82 58843 58201 0 0
T83 23758 23225 0 0

dft_strap0_idxRange_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 997 997 0 0
T1 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T42 1 1 0 0
T61 1 1 0 0
T70 1 1 0 0
T82 1 1 0 0
T83 1 1 0 0

dft_strap1_idxRange_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 997 997 0 0
T1 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T42 1 1 0 0
T61 1 1 0 0
T70 1 1 0 0
T82 1 1 0 0
T83 1 1 0 0

tap_strap0_idxRange_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 997 997 0 0
T1 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T42 1 1 0 0
T61 1 1 0 0
T70 1 1 0 0
T82 1 1 0 0
T83 1 1 0 0

tap_strap1_idxRange_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 997 997 0 0
T1 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T42 1 1 0 0
T61 1 1 0 0
T70 1 1 0 0
T82 1 1 0 0
T83 1 1 0 0

tck_idxRange_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 997 997 0 0
T1 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T42 1 1 0 0
T61 1 1 0 0
T70 1 1 0 0
T82 1 1 0 0
T83 1 1 0 0

tdi_idxRange_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 997 997 0 0
T1 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T42 1 1 0 0
T61 1 1 0 0
T70 1 1 0 0
T82 1 1 0 0
T83 1 1 0 0

tdo_idxRange_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 997 997 0 0
T1 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T42 1 1 0 0
T61 1 1 0 0
T70 1 1 0 0
T82 1 1 0 0
T83 1 1 0 0

tms_idxRange_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 997 997 0 0
T1 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T42 1 1 0 0
T61 1 1 0 0
T70 1 1 0 0
T82 1 1 0 0
T83 1 1 0 0

trst_idxRange_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 997 997 0 0
T1 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T42 1 1 0 0
T61 1 1 0 0
T70 1 1 0 0
T82 1 1 0 0
T83 1 1 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%