Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : rv_core_ibex
SCORELINECONDTOGGLEFSMBRANCHASSERT
90.49 96.47 89.29 98.53 100.00 68.18

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.top_earlgrey.u_rv_core_ibex 90.74 96.47 89.29 99.75 100.00 68.18



Module Instance : tb.dut.top_earlgrey.u_rv_core_ibex

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
90.74 96.47 89.29 99.75 100.00 68.18


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.49 97.59 95.75 98.30 98.66 92.14


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
93.34 90.68 89.34 100.00 top_earlgrey


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
fifo_d 100.00 100.00 100.00 100.00 100.00
fifo_i 93.75 75.00 100.00 100.00 100.00
gen_alert_senders[0].u_alert_sender 100.00 100.00
gen_alert_senders[1].u_alert_sender 100.00 100.00
gen_alert_senders[2].u_alert_sender 100.00 100.00
gen_alert_senders[3].u_alert_sender 75.00 75.00
tl_adapter_host_d_ibex 91.79 95.35 81.82 90.00 100.00
tl_adapter_host_i_ibex 87.90 90.48 72.22 88.89 100.00
u_alert_nmi_sync 100.00 100.00 100.00
u_core 95.91 95.91
u_core_sleeping_buf 100.00 100.00
u_dbus_trans 96.36 100.00 92.59 100.00 92.86
u_edn_if 89.08 100.00 86.44 94.87 75.00
u_ibus_trans 96.36 100.00 92.59 100.00 92.86
u_intr_timer_sync 100.00 100.00 100.00
u_lc_sync 100.00 100.00 100.00 100.00
u_prim_buf_irq 100.00 100.00
u_prim_esc_receiver 100.00 100.00
u_prim_lc_sender 100.00 100.00 100.00
u_prim_sync_reqack_data 91.67 100.00 66.67 100.00 100.00
u_pwrmgr_sync 100.00 100.00 100.00 100.00
u_reg_cfg 99.20 98.69 98.55 99.58 100.00
u_sim_win_rsp 89.32 77.27 80.00 100.00 100.00
u_tlul_req_buf 100.00 100.00
u_tlul_rsp_buf 100.00 100.00
u_wdog_nmi_sync 100.00 100.00 100.00

Line Coverage for Module : rv_core_ibex
Line No.TotalCoveredPercent
TOTAL858296.47
CONT_ASSIGN20211100.00
CONT_ASSIGN20311100.00
CONT_ASSIGN21611100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22511100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26511100.00
CONT_ASSIGN26811100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34811100.00
CONT_ASSIGN36311100.00
ALWAYS49233100.00
CONT_ASSIGN51211100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN51411100.00
CONT_ASSIGN51511100.00
ALWAYS51888100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71711100.00
CONT_ASSIGN71811100.00
CONT_ASSIGN71911100.00
CONT_ASSIGN72211100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN72611100.00
CONT_ASSIGN72811100.00
CONT_ASSIGN73511100.00
CONT_ASSIGN73711100.00
CONT_ASSIGN73911100.00
CONT_ASSIGN74111100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN75211100.00
CONT_ASSIGN75311100.00
CONT_ASSIGN75411100.00
CONT_ASSIGN75711100.00
CONT_ASSIGN76011100.00
ALWAYS7921111100.00
ALWAYS80877100.00
CONT_ASSIGN81911100.00
CONT_ASSIGN83811100.00
CONT_ASSIGN83911100.00
CONT_ASSIGN84011100.00
CONT_ASSIGN843100.00
CONT_ASSIGN84700
CONT_ASSIGN88611100.00
ALWAYS94500
CONT_ASSIGN986100.00
CONT_ASSIGN988100.00
CONT_ASSIGN99011100.00
CONT_ASSIGN99211100.00
CONT_ASSIGN99411100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
202 1 1
203 1 1
216 1 1
217 1 1
218 1 1
225 1 1
263 1 1
265 1 1
268 1 1
342 1 1
348 1 1
363 1 1
492 1 1
493 1 1
495 1 1
512 1 1
513 1 1
514 1 1
515 1 1
518 1 1
519 1 1
520 1 1
521 1 1
522 1 1
523 1 1
524 1 1
525 1 1
MISSING_ELSE
702 2 2
703 2 2
704 2 2
708 2 2
709 2 2
710 2 2
717 1 1
718 1 1
719 1 1
722 1 1
724 1 1
726 1 1
728 1 1
735 1 1
737 1 1
739 1 1
741 1 1
751 1 1
752 1 1
753 1 1
754 1 1
757 1 1
760 1 1
792 1 1
793 1 1
794 1 1
796 1 1
797 1 1
798 1 1
799 1 1
800 1 1
801 1 1
802 1 1
803 1 1
MISSING_ELSE
808 1 1
809 1 1
810 1 1
811 1 1
813 1 1
814 1 1
815 1 1
819 1 1
838 1 1
839 1 1
840 1 1
843 0 1
847 unreachable
886 1 1
945 unreachable
946 unreachable
947 unreachable
948 unreachable
==> MISSING_ELSE
986 0 1
988 0 1
990 1 1
992 1 1
994 1 1


Cond Coverage for Module : rv_core_ibex
TotalCoveredPercent
Conditions282589.29
Logical282589.29
Non-Logical00
Event00

 LINE       216
 EXPRESSION (ibus_intg_err | dbus_intg_err | alert_major_bus)
             ------1------   ------2------   -------3-------
-1--2--3-StatusTests
000CoveredT4,T5,T6
001CoveredT105,T116,T117
010Not Covered
100Not Covered

 LINE       217
 EXPRESSION (alert_major_internal | double_fault)
             ----------1---------   ------2-----
-1--2-StatusTests
00CoveredT4,T5,T6
01CoveredT254,T255,T256
10CoveredT20,T21,T173

 LINE       348
 EXPRESSION (fatal_core_err ? Off : local_fetch_enable_q)
             -------1------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT20,T21,T173

 LINE       735
 EXPRESSION (reg2hw.alert_test.fatal_sw_err.q & reg2hw.alert_test.fatal_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT162,T200,T233
10CoveredT4,T5,T6
11CoveredT1,T55,T56

 LINE       737
 EXPRESSION (reg2hw.alert_test.recov_sw_err.q & reg2hw.alert_test.recov_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT55,T56,T57
10CoveredT4,T5,T6
11CoveredT1,T162,T200

 LINE       739
 EXPRESSION (reg2hw.alert_test.fatal_hw_err.q & reg2hw.alert_test.fatal_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT1,T162,T200
10CoveredT4,T5,T6
11CoveredT55,T56,T57

 LINE       741
 EXPRESSION (reg2hw.alert_test.recov_hw_err.q & reg2hw.alert_test.recov_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT1,T162,T200
10CoveredT4,T5,T6
11CoveredT55,T56,T57

 LINE       753
 EXPRESSION (intg_err | fatal_intg_err | fatal_core_err)
             ----1---   -------2------   -------3------
-1--2--3-StatusTests
000CoveredT4,T5,T6
001CoveredT20,T21,T173
010CoveredT105,T116,T117
100CoveredT64,T257,T258

 LINE       800
 EXPRESSION (edn_req && edn_ack)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T18
11CoveredT4,T5,T6

Toggle Coverage for Module : rv_core_ibex
TotalCoveredPercent
Totals 123 117 95.12
Total Bits 1628 1604 98.53
Total Bits 0->1 814 802 98.53
Total Bits 1->0 814 802 98.53

Ports 123 117 95.12
Port Bits 1628 1604 98.53
Port Bits 0->1 814 802 98.53
Port Bits 1->0 814 802 98.53

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_ni Yes Yes T41,T42,T59 Yes T4,T5,T6 INPUT
clk_edn_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_edn_ni Yes Yes T41,T42,T59 Yes T4,T5,T6 INPUT
clk_esc_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_esc_ni Yes Yes T41,T42,T59 Yes T4,T5,T6 INPUT
rst_cpu_n_o Yes Yes T41,T42,T59 Yes T4,T5,T6 OUTPUT
ram_cfg_i.rf_cfg.cfg[3:0] No No No INPUT
ram_cfg_i.rf_cfg.cfg_en No No No INPUT
ram_cfg_i.rf_cfg.test No No No INPUT
ram_cfg_i.ram_cfg.cfg[3:0] No No No INPUT
ram_cfg_i.ram_cfg.cfg_en No No No INPUT
ram_cfg_i.ram_cfg.test No No No INPUT
hart_id_i[31:0] Unreachable Unreachable Unreachable INPUT
boot_addr_i[31:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_o.d_ready Yes Yes T76,T78,T126 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_user.data_intg[6:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_o.a_user.instr_type[3:0] Yes Yes T126,T259,T260 Yes T126,T259,T260 OUTPUT
corei_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_data[31:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_mask[3:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_address[31:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_size[1:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_opcode[2:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_i.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_error Yes Yes T42,T20,T171 Yes T42,T20,T171 INPUT
corei_tl_h_i.d_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T42,T20,T171 Yes T42,T20,T171 INPUT
corei_tl_h_i.d_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_sink Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
corei_tl_h_i.d_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_size[1:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
corei_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_o.d_ready Yes Yes T1,T79,T81 Yes T1,T79,T81 OUTPUT
cored_tl_h_o.a_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_user.instr_type[3:0] Yes Yes T1,T79,T215 Yes T1,T79,T215 OUTPUT
cored_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_mask[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_address[31:0] Yes Yes T1,T79,T215 Yes T1,T79,T215 OUTPUT
cored_tl_h_o.a_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_size[1:0] Yes Yes T1,T79,T215 Yes T1,T79,T215 OUTPUT
cored_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_opcode[2:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_i.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_error Yes Yes T42,T20,T63 Yes T42,T20,T63 INPUT
cored_tl_h_i.d_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_sink Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
cored_tl_h_i.d_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_size[1:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
cored_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
irq_software_i Yes Yes T261,T262,T263 Yes T261,T262,T263 INPUT
irq_timer_i Yes Yes T106,T264,T157 Yes T106,T264,T157 INPUT
irq_external_i Yes Yes T5,T17,T18 Yes T5,T17,T18 INPUT
esc_tx_i.esc_n Yes Yes T5,T18,T42 Yes T5,T18,T42 INPUT
esc_tx_i.esc_p Yes Yes T5,T18,T42 Yes T5,T18,T42 INPUT
esc_rx_o.resp_n Yes Yes T5,T18,T42 Yes T5,T18,T42 OUTPUT
esc_rx_o.resp_p Yes Yes T5,T18,T42 Yes T5,T18,T42 OUTPUT
nmi_wdog_i Yes Yes T178,T150,T162 Yes T178,T150,T162 INPUT
debug_req_i Yes Yes T265,T266,T267 Yes T265,T266,T267 INPUT
crash_dump_o.current.exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.last_data_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.next_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.current_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_valid Unreachable Unreachable Unreachable OUTPUT
lc_cpu_en_i[3:0] Yes Yes T41,T42,T59 Yes T4,T5,T6 INPUT
pwrmgr_cpu_en_i[3:0] Yes Yes T5,T18,T41 Yes T4,T5,T6 INPUT
pwrmgr_o.core_sleeping Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
scan_rst_ni Unreachable Unreachable Unreachable INPUT
scanmode_i[3:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.d_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.instr_type[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_mask[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[7:0] Yes Yes *T76,*T77,*T78 Yes T76,T77,T78 INPUT
cfg_tl_d_i.a_address[15:8] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[20:16] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[23:21] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[24] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[29:25] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[30] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[31] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_source[5:0] Yes Yes *T1,*T268,*T11 Yes T1,T268,T11 INPUT
cfg_tl_d_i.a_source[7:6] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_size[1:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
cfg_tl_d_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_opcode[2:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
cfg_tl_d_i.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_o.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_error Yes Yes T1,T11,T76 Yes T1,T11,T76 OUTPUT
cfg_tl_d_o.d_user.data_intg[6:0] Yes Yes T4,T5,T18 Yes T4,T5,T18 OUTPUT
cfg_tl_d_o.d_user.rsp_intg[6:0] Yes Yes T4,T5,T17 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_data[31:0] Yes Yes T4,T5,T18 Yes T4,T5,T18 OUTPUT
cfg_tl_d_o.d_sink Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
cfg_tl_d_o.d_source[5:0] Yes Yes *T1,*T11,*T76 Yes T1,T268,T11 OUTPUT
cfg_tl_d_o.d_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_size[1:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
cfg_tl_d_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_opcode[0] Yes Yes *T4,*T5,*T17 Yes T4,T5,T17 OUTPUT
cfg_tl_d_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
edn_o.edn_req Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T4,T42,T59 Yes T4,T6,T17 INPUT
edn_i.edn_fips Yes Yes T269,T270,T122 Yes T113,T269,T271 INPUT
edn_i.edn_ack Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
clk_otp_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_otp_ni Yes Yes T41,T42,T59 Yes T4,T5,T6 INPUT
icache_otp_key_o.req Yes Yes T190,T191,T192 Yes T190,T191,T192 OUTPUT
icache_otp_key_i.seed_valid Yes Yes T41,T42,T59 Yes T4,T5,T17 INPUT
icache_otp_key_i.nonce[127:0] Yes Yes T4,T60,T18 Yes T4,T5,T17 INPUT
icache_otp_key_i.key[127:0] Yes Yes T4,T5,T17 Yes T4,T41,T59 INPUT
icache_otp_key_i.ack Yes Yes T190,T193,T194 Yes T190,T193,T194 INPUT
fpga_info_i[31:0] Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[0].ack_p Yes Yes T1,T55,T82 Yes T1,T55,T82 INPUT
alert_rx_i[0].ping_n Yes Yes T82,T83,T84 Yes T82,T83,T84 INPUT
alert_rx_i[0].ping_p Yes Yes T82,T83,T84 Yes T82,T83,T84 INPUT
alert_rx_i[1].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[1].ack_p Yes Yes T1,T162,T200 Yes T1,T162,T200 INPUT
alert_rx_i[1].ping_n Yes Yes T166,T82,T83 Yes T166,T82,T83 INPUT
alert_rx_i[1].ping_p Yes Yes T166,T82,T83 Yes T166,T82,T83 INPUT
alert_rx_i[2].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[2].ack_p Yes Yes T64,T105,T116 Yes T64,T105,T116 INPUT
alert_rx_i[2].ping_n Yes Yes T82,T83,T84 Yes T84,T272,T273 INPUT
alert_rx_i[2].ping_p Yes Yes T84,T272,T273 Yes T82,T83,T84 INPUT
alert_rx_i[3].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[3].ack_p Yes Yes T55,T166,T82 Yes T55,T166,T82 INPUT
alert_rx_i[3].ping_n Yes Yes T166,T82,T274 Yes T166,T82,T274 INPUT
alert_rx_i[3].ping_p Yes Yes T166,T82,T274 Yes T166,T82,T274 INPUT
alert_tx_o[0].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[0].alert_p Yes Yes T1,T55,T82 Yes T1,T55,T82 OUTPUT
alert_tx_o[1].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[1].alert_p Yes Yes T1,T162,T200 Yes T1,T162,T200 OUTPUT
alert_tx_o[2].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[2].alert_p Yes Yes T64,T105,T116 Yes T64,T105,T116 OUTPUT
alert_tx_o[3].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[3].alert_p Yes Yes T55,T166,T82 Yes T55,T166,T82 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Module : rv_core_ibex
Line No.TotalCoveredPercent
Branches 12 12 100.00
TERNARY 348 2 2 100.00
IF 492 2 2 100.00
IF 518 3 3 100.00
IF 796 3 3 100.00
IF 808 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 348 (fatal_core_err) ?

Branches:
-1-StatusTests
1 Covered T20,T21,T173
0 Covered T4,T5,T6


LineNo. Expression -1-: 492 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 518 if ((!rst_ni)) -2-: 522 if (double_fault)

Branches:
-1--2-StatusTests
1 - Covered T4,T5,T6
0 1 Covered T254,T255,T256
0 0 Covered T4,T5,T6


LineNo. Expression -1-: 796 if (reg2hw.rnd_data.re) -2-: 800 if ((edn_req && edn_ack))

Branches:
-1--2-StatusTests
1 - Covered T4,T5,T18
0 1 Covered T4,T5,T6
0 0 Covered T4,T5,T6


LineNo. Expression -1-: 808 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


Assert Coverage for Module : rv_core_ibex
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 15 68.18
Cover properties 0 0 0
Cover sequences 0 0 0
Total 22 22 100.00 15 68.18




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
FpvSecCmIbexFetchEnable0_A 514340554 4 0 0
FpvSecCmIbexFetchEnable1_A 514340554 25200695 0 96
FpvSecCmIbexFetchEnable2_A 514340554 66252888 0 84
FpvSecCmIbexFetchEnable3Rev_A 514340554 443343932 0 2020
FpvSecCmIbexFetchEnable3_A 514340554 443345823 0 1913
FpvSecCmIbexInstrIntgErrCheck_A 514340554 155 0 0
FpvSecCmIbexLoadRespIntgErrCheck_A 514340554 585 0 0
FpvSecCmIbexLockstepResetCountAlertCheck_A 514340554 0 0 0
FpvSecCmIbexPcMismatchCheck_A 514340554 0 0 0
FpvSecCmIbexRfEccErrCheck_A 514340554 0 0 0
FpvSecCmIbexStoreRespIntgErrCheck_A 514340554 0 0 0
FpvSecCmRegWeOnehotCheck_A 514340554 5 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A 514340554 0 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A 514340554 0 0 0
FpvSecCmRvCoreRegWeOnehotCheck_A 514340554 0 0 0
g_instr_intg_err_assert_signals.AssertConnected_A 1021 1021 0 0
g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A 1021 1021 0 0
g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A 1021 1021 0 0
g_pc_mismatch_alert_o_assert_signals.AssertConnected_A 1021 1021 0 0
g_rf_ecc_err_comb_assert_signals.AssertConnected_A 1021 1021 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A 514340554 183 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A 514340554 195 0 0


FpvSecCmIbexFetchEnable0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 4 0 0
T157 182028 0 0 0
T163 136614 0 0 0
T224 128037 0 0 0
T233 219602 0 0 0
T254 247917 1 0 0
T255 0 1 0 0
T256 0 1 0 0
T275 0 1 0 0
T276 284763 0 0 0
T277 140269 0 0 0
T278 91319 0 0 0
T279 149909 0 0 0
T280 161393 0 0 0

FpvSecCmIbexFetchEnable1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 25200695 0 96
T1 0 0 0 2
T4 224574 9927 0 0
T5 156767 9919 0 0
T6 83984 9931 0 0
T17 211715 9931 0 0
T18 152736 9931 0 0
T19 200387 60129 0 0
T20 0 0 0 2
T21 0 0 0 2
T41 186388 29777 0 0
T42 276620 40600 0 0
T59 189476 19838 0 0
T60 74119 9927 0 0
T62 0 0 0 2
T79 0 0 0 2
T167 0 0 0 2
T170 0 0 0 2
T173 0 0 0 2
T174 0 0 0 2
T184 0 0 0 2

FpvSecCmIbexFetchEnable2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 66252888 0 84
T1 0 0 0 2
T4 224574 34775 0 0
T5 156767 38303 0 0
T6 83984 34775 0 0
T17 211715 34775 0 0
T18 152736 37816 0 0
T19 200387 104325 0 0
T20 0 0 0 2
T21 0 0 0 2
T41 186388 104334 0 0
T42 276620 69555 0 0
T59 189476 69554 0 0
T60 74119 34775 0 0
T79 0 0 0 2
T173 0 0 0 2
T174 0 0 0 2
T184 0 0 0 2
T188 0 0 0 2
T281 0 0 0 2
T282 0 0 0 2

FpvSecCmIbexFetchEnable3Rev_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 443343932 0 2020
T4 224574 221090 0 2
T5 156767 118404 0 2
T6 83984 49144 0 2
T17 211715 176879 0 2
T18 152736 114858 0 2
T19 200387 90381 0 2
T41 186388 81886 0 2
T42 276620 186196 0 2
T59 189476 119818 0 2
T60 74119 39283 0 2

FpvSecCmIbexFetchEnable3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 443345823 0 1913
T4 224574 221090 0 2
T5 156767 118407 0 2
T6 83984 49145 0 2
T17 211715 176880 0 2
T18 152736 114860 0 2
T19 200387 90383 0 2
T41 186388 81888 0 2
T42 276620 186198 0 2
T59 189476 119820 0 2
T60 74119 39284 0 2

FpvSecCmIbexInstrIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 155 0 0
T72 679444 0 0 0
T85 752933 0 0 0
T105 287013 77 0 0
T106 91549 0 0 0
T107 135896 0 0 0
T162 698289 0 0 0
T283 0 78 0 0
T284 261847 0 0 0
T285 150216 0 0 0
T286 227020 0 0 0
T287 937186 0 0 0

FpvSecCmIbexLoadRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 585 0 0
T73 938111 0 0 0
T116 175727 31 0 0
T117 0 32 0 0
T149 112536 0 0 0
T208 58580 0 0 0
T228 231673 0 0 0
T232 252454 0 0 0
T261 93781 0 0 0
T288 0 1 0 0
T289 0 100 0 0
T290 0 97 0 0
T291 0 32 0 0
T292 0 31 0 0
T293 0 1 0 0
T294 0 32 0 0
T295 0 1 0 0
T296 392140 0 0 0
T297 142135 0 0 0
T298 574782 0 0 0

FpvSecCmIbexLockstepResetCountAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 0 0 0

FpvSecCmIbexPcMismatchCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 0 0 0

FpvSecCmIbexRfEccErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 0 0 0

FpvSecCmIbexStoreRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 5 0 0
T51 878625 0 0 0
T64 225982 1 0 0
T112 143051 0 0 0
T155 166710 0 0 0
T178 714998 0 0 0
T225 288483 0 0 0
T257 0 1 0 0
T258 0 1 0 0
T299 0 1 0 0
T300 0 1 0 0
T301 137203 0 0 0
T302 168819 0 0 0
T303 136074 0 0 0
T304 95733 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 0 0 0

FpvSecCmRvCoreRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 0 0 0

g_instr_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1021 1021 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T41 1 1 0 0
T42 1 1 0 0
T59 1 1 0 0
T60 1 1 0 0

g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1021 1021 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T41 1 1 0 0
T42 1 1 0 0
T59 1 1 0 0
T60 1 1 0 0

g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1021 1021 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T41 1 1 0 0
T42 1 1 0 0
T59 1 1 0 0
T60 1 1 0 0

g_pc_mismatch_alert_o_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1021 1021 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T41 1 1 0 0
T42 1 1 0 0
T59 1 1 0 0
T60 1 1 0 0

g_rf_ecc_err_comb_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1021 1021 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T41 1 1 0 0
T42 1 1 0 0
T59 1 1 0 0
T60 1 1 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 183 0 0
T86 614222 0 0 0
T190 103438 32 0 0
T193 0 20 0 0
T194 0 33 0 0
T217 97730 0 0 0
T229 421666 0 0 0
T230 403705 0 0 0
T270 266085 0 0 0
T305 0 33 0 0
T306 0 44 0 0
T307 0 21 0 0
T308 129595 0 0 0
T309 169836 0 0 0
T310 90918 0 0 0
T311 87787 0 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 195 0 0
T86 614222 0 0 0
T190 103438 42 0 0
T191 0 16 0 0
T192 0 16 0 0
T193 0 5 0 0
T194 0 42 0 0
T217 97730 0 0 0
T229 421666 0 0 0
T230 403705 0 0 0
T270 266085 0 0 0
T305 0 42 0 0
T306 0 11 0 0
T307 0 5 0 0
T308 129595 0 0 0
T309 169836 0 0 0
T310 90918 0 0 0
T311 87787 0 0 0
T312 0 16 0 0

Line Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
Line No.TotalCoveredPercent
TOTAL858296.47
CONT_ASSIGN20211100.00
CONT_ASSIGN20311100.00
CONT_ASSIGN21611100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22511100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26511100.00
CONT_ASSIGN26811100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34811100.00
CONT_ASSIGN36311100.00
ALWAYS49233100.00
CONT_ASSIGN51211100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN51411100.00
CONT_ASSIGN51511100.00
ALWAYS51888100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71711100.00
CONT_ASSIGN71811100.00
CONT_ASSIGN71911100.00
CONT_ASSIGN72211100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN72611100.00
CONT_ASSIGN72811100.00
CONT_ASSIGN73511100.00
CONT_ASSIGN73711100.00
CONT_ASSIGN73911100.00
CONT_ASSIGN74111100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN75211100.00
CONT_ASSIGN75311100.00
CONT_ASSIGN75411100.00
CONT_ASSIGN75711100.00
CONT_ASSIGN76011100.00
ALWAYS7921111100.00
ALWAYS80877100.00
CONT_ASSIGN81911100.00
CONT_ASSIGN83811100.00
CONT_ASSIGN83911100.00
CONT_ASSIGN84011100.00
CONT_ASSIGN843100.00
CONT_ASSIGN84700
CONT_ASSIGN88611100.00
ALWAYS94500
CONT_ASSIGN986100.00
CONT_ASSIGN988100.00
CONT_ASSIGN99011100.00
CONT_ASSIGN99211100.00
CONT_ASSIGN99411100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
202 1 1
203 1 1
216 1 1
217 1 1
218 1 1
225 1 1
263 1 1
265 1 1
268 1 1
342 1 1
348 1 1
363 1 1
492 1 1
493 1 1
495 1 1
512 1 1
513 1 1
514 1 1
515 1 1
518 1 1
519 1 1
520 1 1
521 1 1
522 1 1
523 1 1
524 1 1
525 1 1
MISSING_ELSE
702 2 2
703 2 2
704 2 2
708 2 2
709 2 2
710 2 2
717 1 1
718 1 1
719 1 1
722 1 1
724 1 1
726 1 1
728 1 1
735 1 1
737 1 1
739 1 1
741 1 1
751 1 1
752 1 1
753 1 1
754 1 1
757 1 1
760 1 1
792 1 1
793 1 1
794 1 1
796 1 1
797 1 1
798 1 1
799 1 1
800 1 1
801 1 1
802 1 1
803 1 1
MISSING_ELSE
808 1 1
809 1 1
810 1 1
811 1 1
813 1 1
814 1 1
815 1 1
819 1 1
838 1 1
839 1 1
840 1 1
843 0 1
847 unreachable
886 1 1
945 unreachable
946 unreachable
947 unreachable
948 unreachable
==> MISSING_ELSE
986 0 1
988 0 1
990 1 1
992 1 1
994 1 1


Cond Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalCoveredPercent
Conditions282589.29
Logical282589.29
Non-Logical00
Event00

 LINE       216
 EXPRESSION (ibus_intg_err | dbus_intg_err | alert_major_bus)
             ------1------   ------2------   -------3-------
-1--2--3-StatusTests
000CoveredT4,T5,T6
001CoveredT105,T116,T117
010Not Covered
100Not Covered

 LINE       217
 EXPRESSION (alert_major_internal | double_fault)
             ----------1---------   ------2-----
-1--2-StatusTests
00CoveredT4,T5,T6
01CoveredT254,T255,T256
10CoveredT20,T21,T173

 LINE       348
 EXPRESSION (fatal_core_err ? Off : local_fetch_enable_q)
             -------1------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT20,T21,T173

 LINE       735
 EXPRESSION (reg2hw.alert_test.fatal_sw_err.q & reg2hw.alert_test.fatal_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT162,T200,T233
10CoveredT4,T5,T6
11CoveredT1,T55,T56

 LINE       737
 EXPRESSION (reg2hw.alert_test.recov_sw_err.q & reg2hw.alert_test.recov_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT55,T56,T57
10CoveredT4,T5,T6
11CoveredT1,T162,T200

 LINE       739
 EXPRESSION (reg2hw.alert_test.fatal_hw_err.q & reg2hw.alert_test.fatal_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT1,T162,T200
10CoveredT4,T5,T6
11CoveredT55,T56,T57

 LINE       741
 EXPRESSION (reg2hw.alert_test.recov_hw_err.q & reg2hw.alert_test.recov_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT1,T162,T200
10CoveredT4,T5,T6
11CoveredT55,T56,T57

 LINE       753
 EXPRESSION (intg_err | fatal_intg_err | fatal_core_err)
             ----1---   -------2------   -------3------
-1--2--3-StatusTests
000CoveredT4,T5,T6
001CoveredT20,T21,T173
010CoveredT105,T116,T117
100CoveredT64,T257,T258

 LINE       800
 EXPRESSION (edn_req && edn_ack)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T18
11CoveredT4,T5,T6

Toggle Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalCoveredPercent
Totals 119 117 98.32
Total Bits 1608 1604 99.75
Total Bits 0->1 804 802 99.75
Total Bits 1->0 804 802 99.75

Ports 119 117 98.32
Port Bits 1608 1604 99.75
Port Bits 0->1 804 802 99.75
Port Bits 1->0 804 802 99.75

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirectionExclude Annotation
clk_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_ni Yes Yes T41,T42,T59 Yes T4,T5,T6 INPUT
clk_edn_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_edn_ni Yes Yes T41,T42,T59 Yes T4,T5,T6 INPUT
clk_esc_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_esc_ni Yes Yes T41,T42,T59 Yes T4,T5,T6 INPUT
rst_cpu_n_o Yes Yes T41,T42,T59 Yes T4,T5,T6 OUTPUT
ram_cfg_i.rf_cfg.cfg[3:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.rf_cfg.cfg_en[0:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.rf_cfg.test No No No INPUT
ram_cfg_i.ram_cfg.cfg[3:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.ram_cfg.cfg_en[0:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.ram_cfg.test No No No INPUT
hart_id_i[31:0] Unreachable Unreachable Unreachable INPUT
boot_addr_i[31:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_o.d_ready Yes Yes T76,T78,T126 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_user.data_intg[6:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_o.a_user.instr_type[3:0] Yes Yes T126,T259,T260 Yes T126,T259,T260 OUTPUT
corei_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_data[31:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_mask[3:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_address[31:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_size[1:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_opcode[2:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_i.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_error Yes Yes T42,T20,T171 Yes T42,T20,T171 INPUT
corei_tl_h_i.d_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T42,T20,T171 Yes T42,T20,T171 INPUT
corei_tl_h_i.d_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_sink Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
corei_tl_h_i.d_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_size[1:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
corei_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_o.d_ready Yes Yes T1,T79,T81 Yes T1,T79,T81 OUTPUT
cored_tl_h_o.a_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_user.instr_type[3:0] Yes Yes T1,T79,T215 Yes T1,T79,T215 OUTPUT
cored_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_mask[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_address[31:0] Yes Yes T1,T79,T215 Yes T1,T79,T215 OUTPUT
cored_tl_h_o.a_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_size[1:0] Yes Yes T1,T79,T215 Yes T1,T79,T215 OUTPUT
cored_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_opcode[2:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_i.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_error Yes Yes T42,T20,T63 Yes T42,T20,T63 INPUT
cored_tl_h_i.d_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_sink Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
cored_tl_h_i.d_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_size[1:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
cored_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
irq_software_i Yes Yes T261,T262,T263 Yes T261,T262,T263 INPUT
irq_timer_i Yes Yes T106,T264,T157 Yes T106,T264,T157 INPUT
irq_external_i Yes Yes T5,T17,T18 Yes T5,T17,T18 INPUT
esc_tx_i.esc_n Yes Yes T5,T18,T42 Yes T5,T18,T42 INPUT
esc_tx_i.esc_p Yes Yes T5,T18,T42 Yes T5,T18,T42 INPUT
esc_rx_o.resp_n Yes Yes T5,T18,T42 Yes T5,T18,T42 OUTPUT
esc_rx_o.resp_p Yes Yes T5,T18,T42 Yes T5,T18,T42 OUTPUT
nmi_wdog_i Yes Yes T178,T150,T162 Yes T178,T150,T162 INPUT
debug_req_i Yes Yes T265,T266,T267 Yes T265,T266,T267 INPUT
crash_dump_o.current.exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.last_data_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.next_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.current_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_valid Unreachable Unreachable Unreachable OUTPUT
lc_cpu_en_i[3:0] Yes Yes T41,T42,T59 Yes T4,T5,T6 INPUT
pwrmgr_cpu_en_i[3:0] Yes Yes T5,T18,T41 Yes T4,T5,T6 INPUT
pwrmgr_o.core_sleeping Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
scan_rst_ni Unreachable Unreachable Unreachable INPUT
scanmode_i[3:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.d_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.instr_type[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_mask[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[7:0] Yes Yes *T76,*T77,*T78 Yes T76,T77,T78 INPUT
cfg_tl_d_i.a_address[15:8] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[20:16] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[23:21] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[24] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[29:25] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[30] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[31] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_source[5:0] Yes Yes *T1,*T268,*T11 Yes T1,T268,T11 INPUT
cfg_tl_d_i.a_source[7:6] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_size[1:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
cfg_tl_d_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_opcode[2:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
cfg_tl_d_i.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_o.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_error Yes Yes T1,T11,T76 Yes T1,T11,T76 OUTPUT
cfg_tl_d_o.d_user.data_intg[6:0] Yes Yes T4,T5,T18 Yes T4,T5,T18 OUTPUT
cfg_tl_d_o.d_user.rsp_intg[6:0] Yes Yes T4,T5,T17 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_data[31:0] Yes Yes T4,T5,T18 Yes T4,T5,T18 OUTPUT
cfg_tl_d_o.d_sink Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
cfg_tl_d_o.d_source[5:0] Yes Yes *T1,*T11,*T76 Yes T1,T268,T11 OUTPUT
cfg_tl_d_o.d_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_size[1:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
cfg_tl_d_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_opcode[0] Yes Yes *T4,*T5,*T17 Yes T4,T5,T17 OUTPUT
cfg_tl_d_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
edn_o.edn_req Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T4,T42,T59 Yes T4,T6,T17 INPUT
edn_i.edn_fips Yes Yes T269,T270,T122 Yes T113,T269,T271 INPUT
edn_i.edn_ack Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
clk_otp_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_otp_ni Yes Yes T41,T42,T59 Yes T4,T5,T6 INPUT
icache_otp_key_o.req Yes Yes T190,T191,T192 Yes T190,T191,T192 OUTPUT
icache_otp_key_i.seed_valid Yes Yes T41,T42,T59 Yes T4,T5,T17 INPUT
icache_otp_key_i.nonce[127:0] Yes Yes T4,T60,T18 Yes T4,T5,T17 INPUT
icache_otp_key_i.key[127:0] Yes Yes T4,T5,T17 Yes T4,T41,T59 INPUT
icache_otp_key_i.ack Yes Yes T190,T193,T194 Yes T190,T193,T194 INPUT
fpga_info_i[31:0] Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[0].ack_p Yes Yes T1,T55,T82 Yes T1,T55,T82 INPUT
alert_rx_i[0].ping_n Yes Yes T82,T83,T84 Yes T82,T83,T84 INPUT
alert_rx_i[0].ping_p Yes Yes T82,T83,T84 Yes T82,T83,T84 INPUT
alert_rx_i[1].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[1].ack_p Yes Yes T1,T162,T200 Yes T1,T162,T200 INPUT
alert_rx_i[1].ping_n Yes Yes T166,T82,T83 Yes T166,T82,T83 INPUT
alert_rx_i[1].ping_p Yes Yes T166,T82,T83 Yes T166,T82,T83 INPUT
alert_rx_i[2].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[2].ack_p Yes Yes T64,T105,T116 Yes T64,T105,T116 INPUT
alert_rx_i[2].ping_n Yes Yes T82,T83,T84 Yes T84,T272,T273 INPUT
alert_rx_i[2].ping_p Yes Yes T84,T272,T273 Yes T82,T83,T84 INPUT
alert_rx_i[3].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[3].ack_p Yes Yes T55,T166,T82 Yes T55,T166,T82 INPUT
alert_rx_i[3].ping_n Yes Yes T166,T82,T274 Yes T166,T82,T274 INPUT
alert_rx_i[3].ping_p Yes Yes T166,T82,T274 Yes T166,T82,T274 INPUT
alert_tx_o[0].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[0].alert_p Yes Yes T1,T55,T82 Yes T1,T55,T82 OUTPUT
alert_tx_o[1].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[1].alert_p Yes Yes T1,T162,T200 Yes T1,T162,T200 OUTPUT
alert_tx_o[2].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[2].alert_p Yes Yes T64,T105,T116 Yes T64,T105,T116 OUTPUT
alert_tx_o[3].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[3].alert_p Yes Yes T55,T166,T82 Yes T55,T166,T82 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
Line No.TotalCoveredPercent
Branches 12 12 100.00
TERNARY 348 2 2 100.00
IF 492 2 2 100.00
IF 518 3 3 100.00
IF 796 3 3 100.00
IF 808 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 348 (fatal_core_err) ?

Branches:
-1-StatusTests
1 Covered T20,T21,T173
0 Covered T4,T5,T6


LineNo. Expression -1-: 492 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 518 if ((!rst_ni)) -2-: 522 if (double_fault)

Branches:
-1--2-StatusTests
1 - Covered T4,T5,T6
0 1 Covered T254,T255,T256
0 0 Covered T4,T5,T6


LineNo. Expression -1-: 796 if (reg2hw.rnd_data.re) -2-: 800 if ((edn_req && edn_ack))

Branches:
-1--2-StatusTests
1 - Covered T4,T5,T18
0 1 Covered T4,T5,T6
0 0 Covered T4,T5,T6


LineNo. Expression -1-: 808 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


Assert Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 15 68.18
Cover properties 0 0 0
Cover sequences 0 0 0
Total 22 22 100.00 15 68.18




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
FpvSecCmIbexFetchEnable0_A 514340554 4 0 0
FpvSecCmIbexFetchEnable1_A 514340554 25200695 0 96
FpvSecCmIbexFetchEnable2_A 514340554 66252888 0 84
FpvSecCmIbexFetchEnable3Rev_A 514340554 443343932 0 2020
FpvSecCmIbexFetchEnable3_A 514340554 443345823 0 1913
FpvSecCmIbexInstrIntgErrCheck_A 514340554 155 0 0
FpvSecCmIbexLoadRespIntgErrCheck_A 514340554 585 0 0
FpvSecCmIbexLockstepResetCountAlertCheck_A 514340554 0 0 0
FpvSecCmIbexPcMismatchCheck_A 514340554 0 0 0
FpvSecCmIbexRfEccErrCheck_A 514340554 0 0 0
FpvSecCmIbexStoreRespIntgErrCheck_A 514340554 0 0 0
FpvSecCmRegWeOnehotCheck_A 514340554 5 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A 514340554 0 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A 514340554 0 0 0
FpvSecCmRvCoreRegWeOnehotCheck_A 514340554 0 0 0
g_instr_intg_err_assert_signals.AssertConnected_A 1021 1021 0 0
g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A 1021 1021 0 0
g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A 1021 1021 0 0
g_pc_mismatch_alert_o_assert_signals.AssertConnected_A 1021 1021 0 0
g_rf_ecc_err_comb_assert_signals.AssertConnected_A 1021 1021 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A 514340554 183 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A 514340554 195 0 0


FpvSecCmIbexFetchEnable0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 4 0 0
T157 182028 0 0 0
T163 136614 0 0 0
T224 128037 0 0 0
T233 219602 0 0 0
T254 247917 1 0 0
T255 0 1 0 0
T256 0 1 0 0
T275 0 1 0 0
T276 284763 0 0 0
T277 140269 0 0 0
T278 91319 0 0 0
T279 149909 0 0 0
T280 161393 0 0 0

FpvSecCmIbexFetchEnable1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 25200695 0 96
T1 0 0 0 2
T4 224574 9927 0 0
T5 156767 9919 0 0
T6 83984 9931 0 0
T17 211715 9931 0 0
T18 152736 9931 0 0
T19 200387 60129 0 0
T20 0 0 0 2
T21 0 0 0 2
T41 186388 29777 0 0
T42 276620 40600 0 0
T59 189476 19838 0 0
T60 74119 9927 0 0
T62 0 0 0 2
T79 0 0 0 2
T167 0 0 0 2
T170 0 0 0 2
T173 0 0 0 2
T174 0 0 0 2
T184 0 0 0 2

FpvSecCmIbexFetchEnable2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 66252888 0 84
T1 0 0 0 2
T4 224574 34775 0 0
T5 156767 38303 0 0
T6 83984 34775 0 0
T17 211715 34775 0 0
T18 152736 37816 0 0
T19 200387 104325 0 0
T20 0 0 0 2
T21 0 0 0 2
T41 186388 104334 0 0
T42 276620 69555 0 0
T59 189476 69554 0 0
T60 74119 34775 0 0
T79 0 0 0 2
T173 0 0 0 2
T174 0 0 0 2
T184 0 0 0 2
T188 0 0 0 2
T281 0 0 0 2
T282 0 0 0 2

FpvSecCmIbexFetchEnable3Rev_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 443343932 0 2020
T4 224574 221090 0 2
T5 156767 118404 0 2
T6 83984 49144 0 2
T17 211715 176879 0 2
T18 152736 114858 0 2
T19 200387 90381 0 2
T41 186388 81886 0 2
T42 276620 186196 0 2
T59 189476 119818 0 2
T60 74119 39283 0 2

FpvSecCmIbexFetchEnable3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 443345823 0 1913
T4 224574 221090 0 2
T5 156767 118407 0 2
T6 83984 49145 0 2
T17 211715 176880 0 2
T18 152736 114860 0 2
T19 200387 90383 0 2
T41 186388 81888 0 2
T42 276620 186198 0 2
T59 189476 119820 0 2
T60 74119 39284 0 2

FpvSecCmIbexInstrIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 155 0 0
T72 679444 0 0 0
T85 752933 0 0 0
T105 287013 77 0 0
T106 91549 0 0 0
T107 135896 0 0 0
T162 698289 0 0 0
T283 0 78 0 0
T284 261847 0 0 0
T285 150216 0 0 0
T286 227020 0 0 0
T287 937186 0 0 0

FpvSecCmIbexLoadRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 585 0 0
T73 938111 0 0 0
T116 175727 31 0 0
T117 0 32 0 0
T149 112536 0 0 0
T208 58580 0 0 0
T228 231673 0 0 0
T232 252454 0 0 0
T261 93781 0 0 0
T288 0 1 0 0
T289 0 100 0 0
T290 0 97 0 0
T291 0 32 0 0
T292 0 31 0 0
T293 0 1 0 0
T294 0 32 0 0
T295 0 1 0 0
T296 392140 0 0 0
T297 142135 0 0 0
T298 574782 0 0 0

FpvSecCmIbexLockstepResetCountAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 0 0 0

FpvSecCmIbexPcMismatchCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 0 0 0

FpvSecCmIbexRfEccErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 0 0 0

FpvSecCmIbexStoreRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 5 0 0
T51 878625 0 0 0
T64 225982 1 0 0
T112 143051 0 0 0
T155 166710 0 0 0
T178 714998 0 0 0
T225 288483 0 0 0
T257 0 1 0 0
T258 0 1 0 0
T299 0 1 0 0
T300 0 1 0 0
T301 137203 0 0 0
T302 168819 0 0 0
T303 136074 0 0 0
T304 95733 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 0 0 0

FpvSecCmRvCoreRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 0 0 0

g_instr_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1021 1021 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T41 1 1 0 0
T42 1 1 0 0
T59 1 1 0 0
T60 1 1 0 0

g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1021 1021 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T41 1 1 0 0
T42 1 1 0 0
T59 1 1 0 0
T60 1 1 0 0

g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1021 1021 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T41 1 1 0 0
T42 1 1 0 0
T59 1 1 0 0
T60 1 1 0 0

g_pc_mismatch_alert_o_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1021 1021 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T41 1 1 0 0
T42 1 1 0 0
T59 1 1 0 0
T60 1 1 0 0

g_rf_ecc_err_comb_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1021 1021 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T41 1 1 0 0
T42 1 1 0 0
T59 1 1 0 0
T60 1 1 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 183 0 0
T86 614222 0 0 0
T190 103438 32 0 0
T193 0 20 0 0
T194 0 33 0 0
T217 97730 0 0 0
T229 421666 0 0 0
T230 403705 0 0 0
T270 266085 0 0 0
T305 0 33 0 0
T306 0 44 0 0
T307 0 21 0 0
T308 129595 0 0 0
T309 169836 0 0 0
T310 90918 0 0 0
T311 87787 0 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 514340554 195 0 0
T86 614222 0 0 0
T190 103438 42 0 0
T191 0 16 0 0
T192 0 16 0 0
T193 0 5 0 0
T194 0 42 0 0
T217 97730 0 0 0
T229 421666 0 0 0
T230 403705 0 0 0
T270 266085 0 0 0
T305 0 42 0 0
T306 0 11 0 0
T307 0 5 0 0
T308 129595 0 0 0
T309 169836 0 0 0
T310 90918 0 0 0
T311 87787 0 0 0
T312 0 16 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%