Line Coverage for Module : 
prim_lc_sync ( parameter NumCopies=1,AsyncOn=1,ResetValueIsOn=0,LcResetValue=10 ) 
Line Coverage for Module self-instances : 
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 2 | 2 | 100.00 | 
| ALWAYS | 68 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
67                            always_ff @(posedge clk_i) begin
68         1/1                  lc_en_in_sva_q <= lc_en_i;
           Tests:       T1 T2 T3 
69                            end
70                          `ASSERT(OutputDelay_A,
71                                  rst_ni |-> ##3 lc_en_o == {NumCopies{$past(lc_en_in_sva_q, 2)}} ||
72                                                 ($past(lc_en_in_sva_q, 2) != $past(lc_en_in_sva_q, 1)))
73                      `endif
74                        end else begin : gen_no_flops
75                          //VCS coverage off
76                          // pragma coverage off
77                      
78                          // This unused companion logic helps remove lint errors
79                          // for modules where clock and reset are used for assertions only
80                          // or nothing at all.
81                          // This logic will be removed for sythesis since it is unloaded.
82                          lc_ctrl_pkg::lc_tx_t unused_logic;
83                          always_ff @(posedge clk_i or negedge rst_ni) begin
84                            if (!rst_ni) begin
85                               unused_logic <= lc_ctrl_pkg::Off;
86                            end else begin
87                               unused_logic <= lc_en_i;
88                            end
89                          end
90                          //VCS coverage on
91                          // pragma coverage on
92                      
93                          assign lc_en = lc_en_i;
94                      
95                          `ASSERT(OutputDelay_A, lc_en_o == {NumCopies{lc_en_i}})
96                        end
97                      
98                        for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
99                          logic [lc_ctrl_pkg::TxWidth-1:0] lc_en_out;
100                         for (genvar k = 0; k < lc_ctrl_pkg::TxWidth; k++) begin : gen_bits
101                           prim_sec_anchor_buf u_prim_buf (
102                             .in_i(lc_en[k]),
103                             .out_o(lc_en_out[k])
104                           );
105                         end
106        1/1              assign lc_en_o[j] = lc_ctrl_pkg::lc_tx_t'(lc_en_out);
           Tests:       T1 T2 T3 
Line Coverage for Module : 
prim_lc_sync ( parameter NumCopies=3,AsyncOn=0,ResetValueIsOn=0,LcResetValue=10 ) 
Line Coverage for Module self-instances : 
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 4 | 4 | 100.00 | 
| ALWAYS | 84 | 0 | 0 |  | 
| CONT_ASSIGN | 93 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
83                          always_ff @(posedge clk_i or negedge rst_ni) begin
84         unreachable        if (!rst_ni) begin
85         unreachable           unused_logic <= lc_ctrl_pkg::Off;
86                            end else begin
87         unreachable           unused_logic <= lc_en_i;
88                            end
89                          end
90                          //VCS coverage on
91                          // pragma coverage on
92                      
93         1/1              assign lc_en = lc_en_i;
           Tests:       T1 T2 T3 
94                      
95                          `ASSERT(OutputDelay_A, lc_en_o == {NumCopies{lc_en_i}})
96                        end
97                      
98                        for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
99                          logic [lc_ctrl_pkg::TxWidth-1:0] lc_en_out;
100                         for (genvar k = 0; k < lc_ctrl_pkg::TxWidth; k++) begin : gen_bits
101                           prim_sec_anchor_buf u_prim_buf (
102                             .in_i(lc_en[k]),
103                             .out_o(lc_en_out[k])
104                           );
105                         end
106        3/3              assign lc_en_o[j] = lc_ctrl_pkg::lc_tx_t'(lc_en_out);
           Tests:       T1 T2 T3  | T1 T2 T3  | T1 T2 T3 
Line Coverage for Module : 
prim_lc_sync ( parameter NumCopies=4,AsyncOn=0,ResetValueIsOn=0,LcResetValue=10 ) 
Line Coverage for Module self-instances : 
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 5 | 5 | 100.00 | 
| ALWAYS | 84 | 0 | 0 |  | 
| CONT_ASSIGN | 93 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
83                          always_ff @(posedge clk_i or negedge rst_ni) begin
84         unreachable        if (!rst_ni) begin
85         unreachable           unused_logic <= lc_ctrl_pkg::Off;
86                            end else begin
87         unreachable           unused_logic <= lc_en_i;
88                            end
89                          end
90                          //VCS coverage on
91                          // pragma coverage on
92                      
93         1/1              assign lc_en = lc_en_i;
           Tests:       T1 T2 T3 
94                      
95                          `ASSERT(OutputDelay_A, lc_en_o == {NumCopies{lc_en_i}})
96                        end
97                      
98                        for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
99                          logic [lc_ctrl_pkg::TxWidth-1:0] lc_en_out;
100                         for (genvar k = 0; k < lc_ctrl_pkg::TxWidth; k++) begin : gen_bits
101                           prim_sec_anchor_buf u_prim_buf (
102                             .in_i(lc_en[k]),
103                             .out_o(lc_en_out[k])
104                           );
105                         end
106        4/4              assign lc_en_o[j] = lc_ctrl_pkg::lc_tx_t'(lc_en_out);
           Tests:       T1 T2 T3  | T1 T2 T3  | T1 T2 T3  | T1 T2 T3 
Line Coverage for Module : 
prim_lc_sync ( parameter NumCopies=2,AsyncOn=1,ResetValueIsOn=0,LcResetValue=10 ) 
Line Coverage for Module self-instances : 
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 3 | 3 | 100.00 | 
| ALWAYS | 68 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
67                            always_ff @(posedge clk_i) begin
68         1/1                  lc_en_in_sva_q <= lc_en_i;
           Tests:       T1 T2 T3 
69                            end
70                          `ASSERT(OutputDelay_A,
71                                  rst_ni |-> ##3 lc_en_o == {NumCopies{$past(lc_en_in_sva_q, 2)}} ||
72                                                 ($past(lc_en_in_sva_q, 2) != $past(lc_en_in_sva_q, 1)))
73                      `endif
74                        end else begin : gen_no_flops
75                          //VCS coverage off
76                          // pragma coverage off
77                      
78                          // This unused companion logic helps remove lint errors
79                          // for modules where clock and reset are used for assertions only
80                          // or nothing at all.
81                          // This logic will be removed for sythesis since it is unloaded.
82                          lc_ctrl_pkg::lc_tx_t unused_logic;
83                          always_ff @(posedge clk_i or negedge rst_ni) begin
84                            if (!rst_ni) begin
85                               unused_logic <= lc_ctrl_pkg::Off;
86                            end else begin
87                               unused_logic <= lc_en_i;
88                            end
89                          end
90                          //VCS coverage on
91                          // pragma coverage on
92                      
93                          assign lc_en = lc_en_i;
94                      
95                          `ASSERT(OutputDelay_A, lc_en_o == {NumCopies{lc_en_i}})
96                        end
97                      
98                        for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
99                          logic [lc_ctrl_pkg::TxWidth-1:0] lc_en_out;
100                         for (genvar k = 0; k < lc_ctrl_pkg::TxWidth; k++) begin : gen_bits
101                           prim_sec_anchor_buf u_prim_buf (
102                             .in_i(lc_en[k]),
103                             .out_o(lc_en_out[k])
104                           );
105                         end
106        2/2              assign lc_en_o[j] = lc_ctrl_pkg::lc_tx_t'(lc_en_out);
           Tests:       T1 T2 T3  | T1 T2 T3 
Assert Coverage for Module : 
prim_lc_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
9099 | 
9099 | 
0 | 
0 | 
| T1 | 
9 | 
9 | 
0 | 
0 | 
| T2 | 
9 | 
9 | 
0 | 
0 | 
| T3 | 
9 | 
9 | 
0 | 
0 | 
| T4 | 
9 | 
9 | 
0 | 
0 | 
| T5 | 
9 | 
9 | 
0 | 
0 | 
| T6 | 
9 | 
9 | 
0 | 
0 | 
| T29 | 
9 | 
9 | 
0 | 
0 | 
| T33 | 
9 | 
9 | 
0 | 
0 | 
| T103 | 
9 | 
9 | 
0 | 
0 | 
| T104 | 
9 | 
9 | 
0 | 
0 | 
OutputsKnown_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
1772614000 | 
1767668870 | 
0 | 
0 | 
| T1 | 
296169 | 
293918 | 
0 | 
0 | 
| T2 | 
226515 | 
223123 | 
0 | 
0 | 
| T3 | 
784851 | 
779303 | 
0 | 
0 | 
| T4 | 
543302 | 
540169 | 
0 | 
0 | 
| T5 | 
1091881 | 
1087082 | 
0 | 
0 | 
| T6 | 
364351 | 
361042 | 
0 | 
0 | 
| T29 | 
280602 | 
278231 | 
0 | 
0 | 
| T33 | 
365247 | 
358433 | 
0 | 
0 | 
| T103 | 
160602 | 
157993 | 
0 | 
0 | 
| T104 | 
240227 | 
236099 | 
0 | 
0 | 
gen_flops.OutputDelay_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
1416719590 | 
1413763358 | 
0 | 
18072 | 
| T1 | 
237120 | 
235766 | 
0 | 
18 | 
| T2 | 
180834 | 
178822 | 
0 | 
18 | 
| T3 | 
629418 | 
626180 | 
0 | 
18 | 
| T4 | 
416324 | 
414466 | 
0 | 
18 | 
| T5 | 
876550 | 
873740 | 
0 | 
18 | 
| T6 | 
291160 | 
289192 | 
0 | 
12 | 
| T29 | 
224574 | 
223148 | 
0 | 
18 | 
| T33 | 
291486 | 
287450 | 
0 | 
18 | 
| T34 | 
0 | 
0 | 
0 | 
6 | 
| T103 | 
127998 | 
126436 | 
0 | 
18 | 
| T104 | 
191696 | 
189260 | 
0 | 
18 | 
gen_no_flops.OutputDelay_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
355894410 | 
353863848 | 
0 | 
0 | 
| T1 | 
59049 | 
58128 | 
0 | 
0 | 
| T2 | 
45681 | 
44277 | 
0 | 
0 | 
| T3 | 
155433 | 
153099 | 
0 | 
0 | 
| T4 | 
126978 | 
125679 | 
0 | 
0 | 
| T5 | 
215331 | 
213318 | 
0 | 
0 | 
| T6 | 
73191 | 
71826 | 
0 | 
0 | 
| T29 | 
56028 | 
55059 | 
0 | 
0 | 
| T33 | 
73761 | 
70935 | 
0 | 
0 | 
| T103 | 
32604 | 
31533 | 
0 | 
0 | 
| T104 | 
48531 | 
46815 | 
0 | 
0 | 
 
Line Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.u_pinmux_strap_sampling.u_prim_lc_sync_lc_dft_en
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 3 | 3 | 100.00 | 
| ALWAYS | 68 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
67                            always_ff @(posedge clk_i) begin
68         1/1                  lc_en_in_sva_q <= lc_en_i;
           Tests:       T1 T2 T3 
69                            end
70                          `ASSERT(OutputDelay_A,
71                                  rst_ni |-> ##3 lc_en_o == {NumCopies{$past(lc_en_in_sva_q, 2)}} ||
72                                                 ($past(lc_en_in_sva_q, 2) != $past(lc_en_in_sva_q, 1)))
73                      `endif
74                        end else begin : gen_no_flops
75                          //VCS coverage off
76                          // pragma coverage off
77                      
78                          // This unused companion logic helps remove lint errors
79                          // for modules where clock and reset are used for assertions only
80                          // or nothing at all.
81                          // This logic will be removed for sythesis since it is unloaded.
82                          lc_ctrl_pkg::lc_tx_t unused_logic;
83                          always_ff @(posedge clk_i or negedge rst_ni) begin
84                            if (!rst_ni) begin
85                               unused_logic <= lc_ctrl_pkg::Off;
86                            end else begin
87                               unused_logic <= lc_en_i;
88                            end
89                          end
90                          //VCS coverage on
91                          // pragma coverage on
92                      
93                          assign lc_en = lc_en_i;
94                      
95                          `ASSERT(OutputDelay_A, lc_en_o == {NumCopies{lc_en_i}})
96                        end
97                      
98                        for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
99                          logic [lc_ctrl_pkg::TxWidth-1:0] lc_en_out;
100                         for (genvar k = 0; k < lc_ctrl_pkg::TxWidth; k++) begin : gen_bits
101                           prim_sec_anchor_buf u_prim_buf (
102                             .in_i(lc_en[k]),
103                             .out_o(lc_en_out[k])
104                           );
105                         end
106        2/2              assign lc_en_o[j] = lc_ctrl_pkg::lc_tx_t'(lc_en_out);
           Tests:       T1 T2 T3  | T1 T2 T3 
Assert Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.u_pinmux_strap_sampling.u_prim_lc_sync_lc_dft_en
Assertion Details
NumCopiesMustBeGreaterZero_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
1011 | 
1011 | 
0 | 
0 | 
| T1 | 
1 | 
1 | 
0 | 
0 | 
| T2 | 
1 | 
1 | 
0 | 
0 | 
| T3 | 
1 | 
1 | 
0 | 
0 | 
| T4 | 
1 | 
1 | 
0 | 
0 | 
| T5 | 
1 | 
1 | 
0 | 
0 | 
| T6 | 
1 | 
1 | 
0 | 
0 | 
| T29 | 
1 | 
1 | 
0 | 
0 | 
| T33 | 
1 | 
1 | 
0 | 
0 | 
| T103 | 
1 | 
1 | 
0 | 
0 | 
| T104 | 
1 | 
1 | 
0 | 
0 | 
OutputsKnown_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
118631470 | 
117954616 | 
0 | 
0 | 
| T1 | 
19683 | 
19376 | 
0 | 
0 | 
| T2 | 
15227 | 
14759 | 
0 | 
0 | 
| T3 | 
51811 | 
51033 | 
0 | 
0 | 
| T4 | 
42326 | 
41893 | 
0 | 
0 | 
| T5 | 
71777 | 
71106 | 
0 | 
0 | 
| T6 | 
24397 | 
23942 | 
0 | 
0 | 
| T29 | 
18676 | 
18353 | 
0 | 
0 | 
| T33 | 
24587 | 
23645 | 
0 | 
0 | 
| T103 | 
10868 | 
10511 | 
0 | 
0 | 
| T104 | 
16177 | 
15605 | 
0 | 
0 | 
gen_flops.OutputDelay_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
118631470 | 
117947852 | 
0 | 
3015 | 
| T1 | 
19683 | 
19372 | 
0 | 
3 | 
| T2 | 
15227 | 
14755 | 
0 | 
3 | 
| T3 | 
51811 | 
51029 | 
0 | 
3 | 
| T4 | 
42326 | 
41889 | 
0 | 
3 | 
| T5 | 
71777 | 
71102 | 
0 | 
3 | 
| T6 | 
24397 | 
23938 | 
0 | 
3 | 
| T29 | 
18676 | 
18349 | 
0 | 
3 | 
| T33 | 
24587 | 
23637 | 
0 | 
3 | 
| T103 | 
10868 | 
10507 | 
0 | 
3 | 
| T104 | 
16177 | 
15601 | 
0 | 
3 | 
 
Line Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.u_pinmux_strap_sampling.u_prim_lc_sync_lc_hw_debug_en
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 2 | 2 | 100.00 | 
| ALWAYS | 68 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
67                            always_ff @(posedge clk_i) begin
68         1/1                  lc_en_in_sva_q <= lc_en_i;
           Tests:       T1 T2 T3 
69                            end
70                          `ASSERT(OutputDelay_A,
71                                  rst_ni |-> ##3 lc_en_o == {NumCopies{$past(lc_en_in_sva_q, 2)}} ||
72                                                 ($past(lc_en_in_sva_q, 2) != $past(lc_en_in_sva_q, 1)))
73                      `endif
74                        end else begin : gen_no_flops
75                          //VCS coverage off
76                          // pragma coverage off
77                      
78                          // This unused companion logic helps remove lint errors
79                          // for modules where clock and reset are used for assertions only
80                          // or nothing at all.
81                          // This logic will be removed for sythesis since it is unloaded.
82                          lc_ctrl_pkg::lc_tx_t unused_logic;
83                          always_ff @(posedge clk_i or negedge rst_ni) begin
84                            if (!rst_ni) begin
85                               unused_logic <= lc_ctrl_pkg::Off;
86                            end else begin
87                               unused_logic <= lc_en_i;
88                            end
89                          end
90                          //VCS coverage on
91                          // pragma coverage on
92                      
93                          assign lc_en = lc_en_i;
94                      
95                          `ASSERT(OutputDelay_A, lc_en_o == {NumCopies{lc_en_i}})
96                        end
97                      
98                        for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
99                          logic [lc_ctrl_pkg::TxWidth-1:0] lc_en_out;
100                         for (genvar k = 0; k < lc_ctrl_pkg::TxWidth; k++) begin : gen_bits
101                           prim_sec_anchor_buf u_prim_buf (
102                             .in_i(lc_en[k]),
103                             .out_o(lc_en_out[k])
104                           );
105                         end
106        1/1              assign lc_en_o[j] = lc_ctrl_pkg::lc_tx_t'(lc_en_out);
           Tests:       T1 T2 T3 
Assert Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.u_pinmux_strap_sampling.u_prim_lc_sync_lc_hw_debug_en
Assertion Details
NumCopiesMustBeGreaterZero_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
1011 | 
1011 | 
0 | 
0 | 
| T1 | 
1 | 
1 | 
0 | 
0 | 
| T2 | 
1 | 
1 | 
0 | 
0 | 
| T3 | 
1 | 
1 | 
0 | 
0 | 
| T4 | 
1 | 
1 | 
0 | 
0 | 
| T5 | 
1 | 
1 | 
0 | 
0 | 
| T6 | 
1 | 
1 | 
0 | 
0 | 
| T29 | 
1 | 
1 | 
0 | 
0 | 
| T33 | 
1 | 
1 | 
0 | 
0 | 
| T103 | 
1 | 
1 | 
0 | 
0 | 
| T104 | 
1 | 
1 | 
0 | 
0 | 
OutputsKnown_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
118631470 | 
117954616 | 
0 | 
0 | 
| T1 | 
19683 | 
19376 | 
0 | 
0 | 
| T2 | 
15227 | 
14759 | 
0 | 
0 | 
| T3 | 
51811 | 
51033 | 
0 | 
0 | 
| T4 | 
42326 | 
41893 | 
0 | 
0 | 
| T5 | 
71777 | 
71106 | 
0 | 
0 | 
| T6 | 
24397 | 
23942 | 
0 | 
0 | 
| T29 | 
18676 | 
18353 | 
0 | 
0 | 
| T33 | 
24587 | 
23645 | 
0 | 
0 | 
| T103 | 
10868 | 
10511 | 
0 | 
0 | 
| T104 | 
16177 | 
15605 | 
0 | 
0 | 
gen_flops.OutputDelay_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
118631470 | 
117947852 | 
0 | 
3015 | 
| T1 | 
19683 | 
19372 | 
0 | 
3 | 
| T2 | 
15227 | 
14755 | 
0 | 
3 | 
| T3 | 
51811 | 
51029 | 
0 | 
3 | 
| T4 | 
42326 | 
41889 | 
0 | 
3 | 
| T5 | 
71777 | 
71102 | 
0 | 
3 | 
| T6 | 
24397 | 
23938 | 
0 | 
3 | 
| T29 | 
18676 | 
18349 | 
0 | 
3 | 
| T33 | 
24587 | 
23637 | 
0 | 
3 | 
| T103 | 
10868 | 
10507 | 
0 | 
3 | 
| T104 | 
16177 | 
15601 | 
0 | 
3 | 
 
Line Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.u_pinmux_strap_sampling.u_prim_lc_sync_lc_check_byp_en
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 2 | 2 | 100.00 | 
| ALWAYS | 68 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
67                            always_ff @(posedge clk_i) begin
68         1/1                  lc_en_in_sva_q <= lc_en_i;
           Tests:       T1 T2 T3 
69                            end
70                          `ASSERT(OutputDelay_A,
71                                  rst_ni |-> ##3 lc_en_o == {NumCopies{$past(lc_en_in_sva_q, 2)}} ||
72                                                 ($past(lc_en_in_sva_q, 2) != $past(lc_en_in_sva_q, 1)))
73                      `endif
74                        end else begin : gen_no_flops
75                          //VCS coverage off
76                          // pragma coverage off
77                      
78                          // This unused companion logic helps remove lint errors
79                          // for modules where clock and reset are used for assertions only
80                          // or nothing at all.
81                          // This logic will be removed for sythesis since it is unloaded.
82                          lc_ctrl_pkg::lc_tx_t unused_logic;
83                          always_ff @(posedge clk_i or negedge rst_ni) begin
84                            if (!rst_ni) begin
85                               unused_logic <= lc_ctrl_pkg::Off;
86                            end else begin
87                               unused_logic <= lc_en_i;
88                            end
89                          end
90                          //VCS coverage on
91                          // pragma coverage on
92                      
93                          assign lc_en = lc_en_i;
94                      
95                          `ASSERT(OutputDelay_A, lc_en_o == {NumCopies{lc_en_i}})
96                        end
97                      
98                        for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
99                          logic [lc_ctrl_pkg::TxWidth-1:0] lc_en_out;
100                         for (genvar k = 0; k < lc_ctrl_pkg::TxWidth; k++) begin : gen_bits
101                           prim_sec_anchor_buf u_prim_buf (
102                             .in_i(lc_en[k]),
103                             .out_o(lc_en_out[k])
104                           );
105                         end
106        1/1              assign lc_en_o[j] = lc_ctrl_pkg::lc_tx_t'(lc_en_out);
           Tests:       T33 T59 T30 
Assert Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.u_pinmux_strap_sampling.u_prim_lc_sync_lc_check_byp_en
Assertion Details
NumCopiesMustBeGreaterZero_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
1011 | 
1011 | 
0 | 
0 | 
| T1 | 
1 | 
1 | 
0 | 
0 | 
| T2 | 
1 | 
1 | 
0 | 
0 | 
| T3 | 
1 | 
1 | 
0 | 
0 | 
| T4 | 
1 | 
1 | 
0 | 
0 | 
| T5 | 
1 | 
1 | 
0 | 
0 | 
| T6 | 
1 | 
1 | 
0 | 
0 | 
| T29 | 
1 | 
1 | 
0 | 
0 | 
| T33 | 
1 | 
1 | 
0 | 
0 | 
| T103 | 
1 | 
1 | 
0 | 
0 | 
| T104 | 
1 | 
1 | 
0 | 
0 | 
OutputsKnown_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
118631470 | 
117954616 | 
0 | 
0 | 
| T1 | 
19683 | 
19376 | 
0 | 
0 | 
| T2 | 
15227 | 
14759 | 
0 | 
0 | 
| T3 | 
51811 | 
51033 | 
0 | 
0 | 
| T4 | 
42326 | 
41893 | 
0 | 
0 | 
| T5 | 
71777 | 
71106 | 
0 | 
0 | 
| T6 | 
24397 | 
23942 | 
0 | 
0 | 
| T29 | 
18676 | 
18353 | 
0 | 
0 | 
| T33 | 
24587 | 
23645 | 
0 | 
0 | 
| T103 | 
10868 | 
10511 | 
0 | 
0 | 
| T104 | 
16177 | 
15605 | 
0 | 
0 | 
gen_flops.OutputDelay_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
118631470 | 
117947852 | 
0 | 
3015 | 
| T1 | 
19683 | 
19372 | 
0 | 
3 | 
| T2 | 
15227 | 
14755 | 
0 | 
3 | 
| T3 | 
51811 | 
51029 | 
0 | 
3 | 
| T4 | 
42326 | 
41889 | 
0 | 
3 | 
| T5 | 
71777 | 
71102 | 
0 | 
3 | 
| T6 | 
24397 | 
23938 | 
0 | 
3 | 
| T29 | 
18676 | 
18349 | 
0 | 
3 | 
| T33 | 
24587 | 
23637 | 
0 | 
3 | 
| T103 | 
10868 | 
10507 | 
0 | 
3 | 
| T104 | 
16177 | 
15601 | 
0 | 
3 | 
 
Line Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.u_pinmux_strap_sampling.u_prim_lc_sync_lc_escalate_en
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 2 | 2 | 100.00 | 
| ALWAYS | 68 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
67                            always_ff @(posedge clk_i) begin
68         1/1                  lc_en_in_sva_q <= lc_en_i;
           Tests:       T1 T2 T3 
69                            end
70                          `ASSERT(OutputDelay_A,
71                                  rst_ni |-> ##3 lc_en_o == {NumCopies{$past(lc_en_in_sva_q, 2)}} ||
72                                                 ($past(lc_en_in_sva_q, 2) != $past(lc_en_in_sva_q, 1)))
73                      `endif
74                        end else begin : gen_no_flops
75                          //VCS coverage off
76                          // pragma coverage off
77                      
78                          // This unused companion logic helps remove lint errors
79                          // for modules where clock and reset are used for assertions only
80                          // or nothing at all.
81                          // This logic will be removed for sythesis since it is unloaded.
82                          lc_ctrl_pkg::lc_tx_t unused_logic;
83                          always_ff @(posedge clk_i or negedge rst_ni) begin
84                            if (!rst_ni) begin
85                               unused_logic <= lc_ctrl_pkg::Off;
86                            end else begin
87                               unused_logic <= lc_en_i;
88                            end
89                          end
90                          //VCS coverage on
91                          // pragma coverage on
92                      
93                          assign lc_en = lc_en_i;
94                      
95                          `ASSERT(OutputDelay_A, lc_en_o == {NumCopies{lc_en_i}})
96                        end
97                      
98                        for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
99                          logic [lc_ctrl_pkg::TxWidth-1:0] lc_en_out;
100                         for (genvar k = 0; k < lc_ctrl_pkg::TxWidth; k++) begin : gen_bits
101                           prim_sec_anchor_buf u_prim_buf (
102                             .in_i(lc_en[k]),
103                             .out_o(lc_en_out[k])
104                           );
105                         end
106        1/1              assign lc_en_o[j] = lc_ctrl_pkg::lc_tx_t'(lc_en_out);
           Tests:       T33 T31 T36 
Assert Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.u_pinmux_strap_sampling.u_prim_lc_sync_lc_escalate_en
Assertion Details
NumCopiesMustBeGreaterZero_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
1011 | 
1011 | 
0 | 
0 | 
| T1 | 
1 | 
1 | 
0 | 
0 | 
| T2 | 
1 | 
1 | 
0 | 
0 | 
| T3 | 
1 | 
1 | 
0 | 
0 | 
| T4 | 
1 | 
1 | 
0 | 
0 | 
| T5 | 
1 | 
1 | 
0 | 
0 | 
| T6 | 
1 | 
1 | 
0 | 
0 | 
| T29 | 
1 | 
1 | 
0 | 
0 | 
| T33 | 
1 | 
1 | 
0 | 
0 | 
| T103 | 
1 | 
1 | 
0 | 
0 | 
| T104 | 
1 | 
1 | 
0 | 
0 | 
OutputsKnown_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
118631470 | 
117954616 | 
0 | 
0 | 
| T1 | 
19683 | 
19376 | 
0 | 
0 | 
| T2 | 
15227 | 
14759 | 
0 | 
0 | 
| T3 | 
51811 | 
51033 | 
0 | 
0 | 
| T4 | 
42326 | 
41893 | 
0 | 
0 | 
| T5 | 
71777 | 
71106 | 
0 | 
0 | 
| T6 | 
24397 | 
23942 | 
0 | 
0 | 
| T29 | 
18676 | 
18353 | 
0 | 
0 | 
| T33 | 
24587 | 
23645 | 
0 | 
0 | 
| T103 | 
10868 | 
10511 | 
0 | 
0 | 
| T104 | 
16177 | 
15605 | 
0 | 
0 | 
gen_flops.OutputDelay_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
118631470 | 
117947852 | 
0 | 
3015 | 
| T1 | 
19683 | 
19372 | 
0 | 
3 | 
| T2 | 
15227 | 
14755 | 
0 | 
3 | 
| T3 | 
51811 | 
51029 | 
0 | 
3 | 
| T4 | 
42326 | 
41889 | 
0 | 
3 | 
| T5 | 
71777 | 
71102 | 
0 | 
3 | 
| T6 | 
24397 | 
23938 | 
0 | 
3 | 
| T29 | 
18676 | 
18349 | 
0 | 
3 | 
| T33 | 
24587 | 
23637 | 
0 | 
3 | 
| T103 | 
10868 | 
10507 | 
0 | 
3 | 
| T104 | 
16177 | 
15601 | 
0 | 
3 | 
 
Line Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.u_pinmux_strap_sampling.u_prim_lc_or_hardened.u_prim_lc_sync_a
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 5 | 5 | 100.00 | 
| ALWAYS | 84 | 0 | 0 |  | 
| CONT_ASSIGN | 93 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
83                          always_ff @(posedge clk_i or negedge rst_ni) begin
84         unreachable        if (!rst_ni) begin
85         unreachable           unused_logic <= lc_ctrl_pkg::Off;
86                            end else begin
87         unreachable           unused_logic <= lc_en_i;
88                            end
89                          end
90                          //VCS coverage on
91                          // pragma coverage on
92                      
93         1/1              assign lc_en = lc_en_i;
           Tests:       T1 T2 T3 
94                      
95                          `ASSERT(OutputDelay_A, lc_en_o == {NumCopies{lc_en_i}})
96                        end
97                      
98                        for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
99                          logic [lc_ctrl_pkg::TxWidth-1:0] lc_en_out;
100                         for (genvar k = 0; k < lc_ctrl_pkg::TxWidth; k++) begin : gen_bits
101                           prim_sec_anchor_buf u_prim_buf (
102                             .in_i(lc_en[k]),
103                             .out_o(lc_en_out[k])
104                           );
105                         end
106        4/4              assign lc_en_o[j] = lc_ctrl_pkg::lc_tx_t'(lc_en_out);
           Tests:       T1 T2 T3  | T1 T2 T3  | T1 T2 T3  | T1 T2 T3 
Assert Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.u_pinmux_strap_sampling.u_prim_lc_or_hardened.u_prim_lc_sync_a
Assertion Details
NumCopiesMustBeGreaterZero_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
1011 | 
1011 | 
0 | 
0 | 
| T1 | 
1 | 
1 | 
0 | 
0 | 
| T2 | 
1 | 
1 | 
0 | 
0 | 
| T3 | 
1 | 
1 | 
0 | 
0 | 
| T4 | 
1 | 
1 | 
0 | 
0 | 
| T5 | 
1 | 
1 | 
0 | 
0 | 
| T6 | 
1 | 
1 | 
0 | 
0 | 
| T29 | 
1 | 
1 | 
0 | 
0 | 
| T33 | 
1 | 
1 | 
0 | 
0 | 
| T103 | 
1 | 
1 | 
0 | 
0 | 
| T104 | 
1 | 
1 | 
0 | 
0 | 
OutputsKnown_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
118631470 | 
117954616 | 
0 | 
0 | 
| T1 | 
19683 | 
19376 | 
0 | 
0 | 
| T2 | 
15227 | 
14759 | 
0 | 
0 | 
| T3 | 
51811 | 
51033 | 
0 | 
0 | 
| T4 | 
42326 | 
41893 | 
0 | 
0 | 
| T5 | 
71777 | 
71106 | 
0 | 
0 | 
| T6 | 
24397 | 
23942 | 
0 | 
0 | 
| T29 | 
18676 | 
18353 | 
0 | 
0 | 
| T33 | 
24587 | 
23645 | 
0 | 
0 | 
| T103 | 
10868 | 
10511 | 
0 | 
0 | 
| T104 | 
16177 | 
15605 | 
0 | 
0 | 
gen_no_flops.OutputDelay_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
118631470 | 
117954616 | 
0 | 
0 | 
| T1 | 
19683 | 
19376 | 
0 | 
0 | 
| T2 | 
15227 | 
14759 | 
0 | 
0 | 
| T3 | 
51811 | 
51033 | 
0 | 
0 | 
| T4 | 
42326 | 
41893 | 
0 | 
0 | 
| T5 | 
71777 | 
71106 | 
0 | 
0 | 
| T6 | 
24397 | 
23942 | 
0 | 
0 | 
| T29 | 
18676 | 
18353 | 
0 | 
0 | 
| T33 | 
24587 | 
23645 | 
0 | 
0 | 
| T103 | 
10868 | 
10511 | 
0 | 
0 | 
| T104 | 
16177 | 
15605 | 
0 | 
0 | 
 
Line Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.u_pinmux_strap_sampling.u_prim_lc_or_hardened.u_prim_lc_sync_b
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 5 | 5 | 100.00 | 
| ALWAYS | 84 | 0 | 0 |  | 
| CONT_ASSIGN | 93 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
83                          always_ff @(posedge clk_i or negedge rst_ni) begin
84         unreachable        if (!rst_ni) begin
85         unreachable           unused_logic <= lc_ctrl_pkg::Off;
86                            end else begin
87         unreachable           unused_logic <= lc_en_i;
88                            end
89                          end
90                          //VCS coverage on
91                          // pragma coverage on
92                      
93         1/1              assign lc_en = lc_en_i;
           Tests:       T1 T2 T3 
94                      
95                          `ASSERT(OutputDelay_A, lc_en_o == {NumCopies{lc_en_i}})
96                        end
97                      
98                        for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
99                          logic [lc_ctrl_pkg::TxWidth-1:0] lc_en_out;
100                         for (genvar k = 0; k < lc_ctrl_pkg::TxWidth; k++) begin : gen_bits
101                           prim_sec_anchor_buf u_prim_buf (
102                             .in_i(lc_en[k]),
103                             .out_o(lc_en_out[k])
104                           );
105                         end
106        4/4              assign lc_en_o[j] = lc_ctrl_pkg::lc_tx_t'(lc_en_out);
           Tests:       T1 T2 T3  | T1 T2 T3  | T1 T2 T3  | T1 T2 T3 
Assert Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.u_pinmux_strap_sampling.u_prim_lc_or_hardened.u_prim_lc_sync_b
Assertion Details
NumCopiesMustBeGreaterZero_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
1011 | 
1011 | 
0 | 
0 | 
| T1 | 
1 | 
1 | 
0 | 
0 | 
| T2 | 
1 | 
1 | 
0 | 
0 | 
| T3 | 
1 | 
1 | 
0 | 
0 | 
| T4 | 
1 | 
1 | 
0 | 
0 | 
| T5 | 
1 | 
1 | 
0 | 
0 | 
| T6 | 
1 | 
1 | 
0 | 
0 | 
| T29 | 
1 | 
1 | 
0 | 
0 | 
| T33 | 
1 | 
1 | 
0 | 
0 | 
| T103 | 
1 | 
1 | 
0 | 
0 | 
| T104 | 
1 | 
1 | 
0 | 
0 | 
OutputsKnown_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
118631470 | 
117954616 | 
0 | 
0 | 
| T1 | 
19683 | 
19376 | 
0 | 
0 | 
| T2 | 
15227 | 
14759 | 
0 | 
0 | 
| T3 | 
51811 | 
51033 | 
0 | 
0 | 
| T4 | 
42326 | 
41893 | 
0 | 
0 | 
| T5 | 
71777 | 
71106 | 
0 | 
0 | 
| T6 | 
24397 | 
23942 | 
0 | 
0 | 
| T29 | 
18676 | 
18353 | 
0 | 
0 | 
| T33 | 
24587 | 
23645 | 
0 | 
0 | 
| T103 | 
10868 | 
10511 | 
0 | 
0 | 
| T104 | 
16177 | 
15605 | 
0 | 
0 | 
gen_no_flops.OutputDelay_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
118631470 | 
117954616 | 
0 | 
0 | 
| T1 | 
19683 | 
19376 | 
0 | 
0 | 
| T2 | 
15227 | 
14759 | 
0 | 
0 | 
| T3 | 
51811 | 
51033 | 
0 | 
0 | 
| T4 | 
42326 | 
41893 | 
0 | 
0 | 
| T5 | 
71777 | 
71106 | 
0 | 
0 | 
| T6 | 
24397 | 
23942 | 
0 | 
0 | 
| T29 | 
18676 | 
18353 | 
0 | 
0 | 
| T33 | 
24587 | 
23645 | 
0 | 
0 | 
| T103 | 
10868 | 
10511 | 
0 | 
0 | 
| T104 | 
16177 | 
15605 | 
0 | 
0 | 
 
Line Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.u_pinmux_strap_sampling.u_prim_lc_sync_pinmux_hw_debug_en
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 4 | 4 | 100.00 | 
| ALWAYS | 84 | 0 | 0 |  | 
| CONT_ASSIGN | 93 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
83                          always_ff @(posedge clk_i or negedge rst_ni) begin
84         unreachable        if (!rst_ni) begin
85         unreachable           unused_logic <= lc_ctrl_pkg::Off;
86                            end else begin
87         unreachable           unused_logic <= lc_en_i;
88                            end
89                          end
90                          //VCS coverage on
91                          // pragma coverage on
92                      
93         1/1              assign lc_en = lc_en_i;
           Tests:       T1 T2 T3 
94                      
95                          `ASSERT(OutputDelay_A, lc_en_o == {NumCopies{lc_en_i}})
96                        end
97                      
98                        for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
99                          logic [lc_ctrl_pkg::TxWidth-1:0] lc_en_out;
100                         for (genvar k = 0; k < lc_ctrl_pkg::TxWidth; k++) begin : gen_bits
101                           prim_sec_anchor_buf u_prim_buf (
102                             .in_i(lc_en[k]),
103                             .out_o(lc_en_out[k])
104                           );
105                         end
106        3/3              assign lc_en_o[j] = lc_ctrl_pkg::lc_tx_t'(lc_en_out);
           Tests:       T1 T2 T3  | T1 T2 T3  | T1 T2 T3 
Assert Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.u_pinmux_strap_sampling.u_prim_lc_sync_pinmux_hw_debug_en
Assertion Details
NumCopiesMustBeGreaterZero_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
1011 | 
1011 | 
0 | 
0 | 
| T1 | 
1 | 
1 | 
0 | 
0 | 
| T2 | 
1 | 
1 | 
0 | 
0 | 
| T3 | 
1 | 
1 | 
0 | 
0 | 
| T4 | 
1 | 
1 | 
0 | 
0 | 
| T5 | 
1 | 
1 | 
0 | 
0 | 
| T6 | 
1 | 
1 | 
0 | 
0 | 
| T29 | 
1 | 
1 | 
0 | 
0 | 
| T33 | 
1 | 
1 | 
0 | 
0 | 
| T103 | 
1 | 
1 | 
0 | 
0 | 
| T104 | 
1 | 
1 | 
0 | 
0 | 
OutputsKnown_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
118631470 | 
117954616 | 
0 | 
0 | 
| T1 | 
19683 | 
19376 | 
0 | 
0 | 
| T2 | 
15227 | 
14759 | 
0 | 
0 | 
| T3 | 
51811 | 
51033 | 
0 | 
0 | 
| T4 | 
42326 | 
41893 | 
0 | 
0 | 
| T5 | 
71777 | 
71106 | 
0 | 
0 | 
| T6 | 
24397 | 
23942 | 
0 | 
0 | 
| T29 | 
18676 | 
18353 | 
0 | 
0 | 
| T33 | 
24587 | 
23645 | 
0 | 
0 | 
| T103 | 
10868 | 
10511 | 
0 | 
0 | 
| T104 | 
16177 | 
15605 | 
0 | 
0 | 
gen_no_flops.OutputDelay_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
118631470 | 
117954616 | 
0 | 
0 | 
| T1 | 
19683 | 
19376 | 
0 | 
0 | 
| T2 | 
15227 | 
14759 | 
0 | 
0 | 
| T3 | 
51811 | 
51033 | 
0 | 
0 | 
| T4 | 
42326 | 
41893 | 
0 | 
0 | 
| T5 | 
71777 | 
71106 | 
0 | 
0 | 
| T6 | 
24397 | 
23942 | 
0 | 
0 | 
| T29 | 
18676 | 
18353 | 
0 | 
0 | 
| T33 | 
24587 | 
23645 | 
0 | 
0 | 
| T103 | 
10868 | 
10511 | 
0 | 
0 | 
| T104 | 
16177 | 
15605 | 
0 | 
0 | 
 
Line Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex.u_lc_sync
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 2 | 2 | 100.00 | 
| ALWAYS | 68 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
67                            always_ff @(posedge clk_i) begin
68         1/1                  lc_en_in_sva_q <= lc_en_i;
           Tests:       T1 T2 T3 
69                            end
70                          `ASSERT(OutputDelay_A,
71                                  rst_ni |-> ##3 lc_en_o == {NumCopies{$past(lc_en_in_sva_q, 2)}} ||
72                                                 ($past(lc_en_in_sva_q, 2) != $past(lc_en_in_sva_q, 1)))
73                      `endif
74                        end else begin : gen_no_flops
75                          //VCS coverage off
76                          // pragma coverage off
77                      
78                          // This unused companion logic helps remove lint errors
79                          // for modules where clock and reset are used for assertions only
80                          // or nothing at all.
81                          // This logic will be removed for sythesis since it is unloaded.
82                          lc_ctrl_pkg::lc_tx_t unused_logic;
83                          always_ff @(posedge clk_i or negedge rst_ni) begin
84                            if (!rst_ni) begin
85                               unused_logic <= lc_ctrl_pkg::Off;
86                            end else begin
87                               unused_logic <= lc_en_i;
88                            end
89                          end
90                          //VCS coverage on
91                          // pragma coverage on
92                      
93                          assign lc_en = lc_en_i;
94                      
95                          `ASSERT(OutputDelay_A, lc_en_o == {NumCopies{lc_en_i}})
96                        end
97                      
98                        for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
99                          logic [lc_ctrl_pkg::TxWidth-1:0] lc_en_out;
100                         for (genvar k = 0; k < lc_ctrl_pkg::TxWidth; k++) begin : gen_bits
101                           prim_sec_anchor_buf u_prim_buf (
102                             .in_i(lc_en[k]),
103                             .out_o(lc_en_out[k])
104                           );
105                         end
106        1/1              assign lc_en_o[j] = lc_ctrl_pkg::lc_tx_t'(lc_en_out);
           Tests:       T1 T2 T3 
Assert Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex.u_lc_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
1011 | 
1011 | 
0 | 
0 | 
| T1 | 
1 | 
1 | 
0 | 
0 | 
| T2 | 
1 | 
1 | 
0 | 
0 | 
| T3 | 
1 | 
1 | 
0 | 
0 | 
| T4 | 
1 | 
1 | 
0 | 
0 | 
| T5 | 
1 | 
1 | 
0 | 
0 | 
| T6 | 
1 | 
1 | 
0 | 
0 | 
| T29 | 
1 | 
1 | 
0 | 
0 | 
| T33 | 
1 | 
1 | 
0 | 
0 | 
| T103 | 
1 | 
1 | 
0 | 
0 | 
| T104 | 
1 | 
1 | 
0 | 
0 | 
OutputsKnown_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
471096855 | 
470993279 | 
0 | 
0 | 
| T1 | 
79194 | 
79143 | 
0 | 
0 | 
| T2 | 
59963 | 
59905 | 
0 | 
0 | 
| T3 | 
211087 | 
211036 | 
0 | 
0 | 
| T4 | 
123510 | 
123459 | 
0 | 
0 | 
| T5 | 
294721 | 
294670 | 
0 | 
0 | 
| T6 | 
96786 | 
96724 | 
0 | 
0 | 
| T29 | 
74935 | 
74880 | 
0 | 
0 | 
| T33 | 
96569 | 
96459 | 
0 | 
0 | 
| T103 | 
42263 | 
42208 | 
0 | 
0 | 
| T104 | 
63494 | 
63432 | 
0 | 
0 | 
gen_flops.OutputDelay_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
471096855 | 
470985975 | 
0 | 
3006 | 
| T1 | 
79194 | 
79139 | 
0 | 
3 | 
| T2 | 
59963 | 
59901 | 
0 | 
3 | 
| T3 | 
211087 | 
211032 | 
0 | 
3 | 
| T4 | 
123510 | 
123455 | 
0 | 
3 | 
| T5 | 
294721 | 
294666 | 
0 | 
3 | 
| T6 | 
96786 | 
96720 | 
0 | 
0 | 
| T29 | 
74935 | 
74876 | 
0 | 
3 | 
| T33 | 
96569 | 
96451 | 
0 | 
3 | 
| T34 | 
0 | 
0 | 
0 | 
3 | 
| T103 | 
42263 | 
42204 | 
0 | 
3 | 
| T104 | 
63494 | 
63428 | 
0 | 
3 | 
 
Line Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex.u_pwrmgr_sync
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 2 | 2 | 100.00 | 
| ALWAYS | 68 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 106 | 1 | 1 | 100.00 | 
67                            always_ff @(posedge clk_i) begin
68         1/1                  lc_en_in_sva_q <= lc_en_i;
           Tests:       T1 T2 T3 
69                            end
70                          `ASSERT(OutputDelay_A,
71                                  rst_ni |-> ##3 lc_en_o == {NumCopies{$past(lc_en_in_sva_q, 2)}} ||
72                                                 ($past(lc_en_in_sva_q, 2) != $past(lc_en_in_sva_q, 1)))
73                      `endif
74                        end else begin : gen_no_flops
75                          //VCS coverage off
76                          // pragma coverage off
77                      
78                          // This unused companion logic helps remove lint errors
79                          // for modules where clock and reset are used for assertions only
80                          // or nothing at all.
81                          // This logic will be removed for sythesis since it is unloaded.
82                          lc_ctrl_pkg::lc_tx_t unused_logic;
83                          always_ff @(posedge clk_i or negedge rst_ni) begin
84                            if (!rst_ni) begin
85                               unused_logic <= lc_ctrl_pkg::Off;
86                            end else begin
87                               unused_logic <= lc_en_i;
88                            end
89                          end
90                          //VCS coverage on
91                          // pragma coverage on
92                      
93                          assign lc_en = lc_en_i;
94                      
95                          `ASSERT(OutputDelay_A, lc_en_o == {NumCopies{lc_en_i}})
96                        end
97                      
98                        for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
99                          logic [lc_ctrl_pkg::TxWidth-1:0] lc_en_out;
100                         for (genvar k = 0; k < lc_ctrl_pkg::TxWidth; k++) begin : gen_bits
101                           prim_sec_anchor_buf u_prim_buf (
102                             .in_i(lc_en[k]),
103                             .out_o(lc_en_out[k])
104                           );
105                         end
106        1/1              assign lc_en_o[j] = lc_ctrl_pkg::lc_tx_t'(lc_en_out);
           Tests:       T1 T2 T3 
Assert Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex.u_pwrmgr_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
1011 | 
1011 | 
0 | 
0 | 
| T1 | 
1 | 
1 | 
0 | 
0 | 
| T2 | 
1 | 
1 | 
0 | 
0 | 
| T3 | 
1 | 
1 | 
0 | 
0 | 
| T4 | 
1 | 
1 | 
0 | 
0 | 
| T5 | 
1 | 
1 | 
0 | 
0 | 
| T6 | 
1 | 
1 | 
0 | 
0 | 
| T29 | 
1 | 
1 | 
0 | 
0 | 
| T33 | 
1 | 
1 | 
0 | 
0 | 
| T103 | 
1 | 
1 | 
0 | 
0 | 
| T104 | 
1 | 
1 | 
0 | 
0 | 
OutputsKnown_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
471096855 | 
470993279 | 
0 | 
0 | 
| T1 | 
79194 | 
79143 | 
0 | 
0 | 
| T2 | 
59963 | 
59905 | 
0 | 
0 | 
| T3 | 
211087 | 
211036 | 
0 | 
0 | 
| T4 | 
123510 | 
123459 | 
0 | 
0 | 
| T5 | 
294721 | 
294670 | 
0 | 
0 | 
| T6 | 
96786 | 
96724 | 
0 | 
0 | 
| T29 | 
74935 | 
74880 | 
0 | 
0 | 
| T33 | 
96569 | 
96459 | 
0 | 
0 | 
| T103 | 
42263 | 
42208 | 
0 | 
0 | 
| T104 | 
63494 | 
63432 | 
0 | 
0 | 
gen_flops.OutputDelay_A
| Name | Attempts | Real Successes | Failures | Incomplete | 
| Total | 
471096855 | 
470985975 | 
0 | 
3006 | 
| T1 | 
79194 | 
79139 | 
0 | 
3 | 
| T2 | 
59963 | 
59901 | 
0 | 
3 | 
| T3 | 
211087 | 
211032 | 
0 | 
3 | 
| T4 | 
123510 | 
123455 | 
0 | 
3 | 
| T5 | 
294721 | 
294666 | 
0 | 
3 | 
| T6 | 
96786 | 
96720 | 
0 | 
0 | 
| T29 | 
74935 | 
74876 | 
0 | 
3 | 
| T33 | 
96569 | 
96451 | 
0 | 
3 | 
| T34 | 
0 | 
0 | 
0 | 
3 | 
| T103 | 
42263 | 
42204 | 
0 | 
3 | 
| T104 | 
63494 | 
63428 | 
0 | 
3 |