Line Coverage for Module : 
pinmux_wkup
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 19 | 15 | 78.95 | 
| CONT_ASSIGN | 45 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 46 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 50 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 52 | 1 | 1 | 100.00 | 
| ALWAYS | 55 | 10 | 6 | 60.00 | 
| ALWAYS | 82 | 5 | 5 | 100.00 | 
44                        logic rising, falling;
45         1/1            assign falling = ~filter_out_d & filter_out_q;
           Tests:       T6 T25 T7 
46         1/1            assign rising  = filter_out_d & ~filter_out_q;
           Tests:       T6 T25 T7 
47                      
48                        logic cnt_en, cnt_eq_th;
49                        logic [WkupCntWidth-1:0] cnt_d, cnt_q;
50         1/1            assign cnt_d     = (cnt_eq_th) ? '0 : (cnt_en) ? cnt_q + 1'b1 : '0;
           Tests:       T86 T120 T70 
51                      
52         1/1            assign cnt_eq_th = (cnt_q >= wkup_cnt_th_i);
           Tests:       T86 T120 T70 
53                      
54                        always_comb begin : p_mode
55         1/1              aon_wkup_pulse_o = 1'b0;
           Tests:       T6 T25 T7 
56         1/1              cnt_en           = 1'b0;
           Tests:       T6 T25 T7 
57         1/1              if (wkup_en_i) begin
           Tests:       T6 T25 T7 
58         1/1                unique case (wkup_mode_i)
           Tests:       T6 T25 T7 
59                              Negedge: begin
60         1/1                    aon_wkup_pulse_o = falling;
           Tests:       T7 T79 T80 
61                              end
62                              Edge: begin
63         1/1                    aon_wkup_pulse_o = rising | falling;
           Tests:       T7 T79 T80 
64                              end
65                              HighTimed: begin
66         0/1     ==>            cnt_en = filter_out_d;
67         0/1     ==>            aon_wkup_pulse_o = cnt_eq_th;
68                              end
69                              LowTimed: begin
70         0/1     ==>            cnt_en = ~filter_out_d;
71         0/1     ==>            aon_wkup_pulse_o = cnt_eq_th;
72                              end
73                              // Default to rising
74                              default: begin
75                                aon_wkup_pulse_o = rising;
76                              end
77                            endcase
78                          end
                        MISSING_ELSE
79                        end
80                      
81                        always_ff @(posedge clk_i or negedge rst_ni) begin : p_aon_pattern
82         1/1              if (!rst_ni) begin
           Tests:       T1 T2 T3 
83         1/1                filter_out_q <= 1'b0;
           Tests:       T1 T2 T3 
84         1/1                cnt_q        <= '0;
           Tests:       T1 T2 T3 
85                          end else begin
86         1/1                filter_out_q <= filter_out_d;
           Tests:       T1 T2 T3 
87         1/1                cnt_q        <= cnt_d;
           Tests:       T1 T2 T3 
Cond Coverage for Module : 
pinmux_wkup
 | Total | Covered | Percent | 
| Conditions | 13 | 12 | 92.31 | 
| Logical | 13 | 12 | 92.31 | 
| Non-Logical | 0 | 0 |  | 
| Event | 0 | 0 |  | 
 LINE       45
 EXPRESSION (((~filter_out_d)) & filter_out_q)
             --------1--------   ------2-----
| -1- | -2- | Status | Tests |                       
| 0 | 1 | Covered | T6,T25,T7 | 
| 1 | 0 | Covered | T1,T2,T3 | 
| 1 | 1 | Covered | T6,T7,T73 | 
 LINE       46
 EXPRESSION (filter_out_d & ((~filter_out_q)))
             ------1-----   --------2--------
| -1- | -2- | Status | Tests |                       
| 0 | 1 | Covered | T1,T2,T3 | 
| 1 | 0 | Covered | T6,T25,T7 | 
| 1 | 1 | Covered | T6,T25,T7 | 
 LINE       50
 EXPRESSION (cnt_eq_th ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : '0))
             ----1----
| -1- | Status | Tests |                       
| 0 | Covered | T86,T120,T70 | 
| 1 | Covered | T1,T2,T3 | 
 LINE       50
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : '0)
                 ---1--
| -1- | Status | Tests |                       
| 0 | Covered | T86,T120,T70 | 
| 1 | Not Covered |  | 
 LINE       63
 EXPRESSION (rising | falling)
             ---1--   ---2---
| -1- | -2- | Status | Tests |                       
| 0 | 0 | Covered | T7,T79,T80 | 
| 0 | 1 | Covered | T7,T79,T80 | 
| 1 | 0 | Covered | T7,T79,T80 | 
Branch Coverage for Module : 
pinmux_wkup
 | Line No. | Total | Covered | Percent | 
| Branches | 
 | 
11 | 
8 | 
72.73  | 
| TERNARY | 
50 | 
3 | 
2 | 
66.67  | 
| IF | 
57 | 
6 | 
4 | 
66.67  | 
| IF | 
82 | 
2 | 
2 | 
100.00 | 
50           assign cnt_d     = (cnt_eq_th) ? '0 : (cnt_en) ? cnt_q + 1'b1 : '0;
                                            -1-             -2-   
                                            ==>             ==>   
                                                            ==>  
Branches:
| -1- | -2- | Status | Tests | 
| 1 | 
- | 
Covered | 
T1,T2,T3 | 
| 0 | 
1 | 
Not Covered | 
 | 
| 0 | 
0 | 
Covered | 
T86,T120,T70 | 
57             if (wkup_en_i) begin
               -1-  
58               unique case (wkup_mode_i)
                        -2-  
59                 Negedge: begin
60                   aon_wkup_pulse_o = falling;
                     ==>
61                 end
62                 Edge: begin
63                   aon_wkup_pulse_o = rising | falling;
                     ==>
64                 end
65                 HighTimed: begin
66                   cnt_en = filter_out_d;
                     ==>
67                   aon_wkup_pulse_o = cnt_eq_th;
68                 end
69                 LowTimed: begin
70                   cnt_en = ~filter_out_d;
                     ==>
71                   aon_wkup_pulse_o = cnt_eq_th;
72                 end
73                 // Default to rising
74                 default: begin
75                   aon_wkup_pulse_o = rising;
                     ==>
76                 end
77               endcase
78             end
               MISSING_ELSE
               ==>
Branches:
| -1- | -2- | Status | Tests | 
| 1 | 
Negedge  | 
Covered | 
T7,T79,T80 | 
| 1 | 
Edge  | 
Covered | 
T7,T79,T80 | 
| 1 | 
HighTimed  | 
Not Covered | 
 | 
| 1 | 
LowTimed  | 
Not Covered | 
 | 
| 1 | 
default | 
Covered | 
T6,T25,T73 | 
| 0 | 
- | 
Covered | 
T25,T7,T86 | 
82             if (!rst_ni) begin
               -1-  
83               filter_out_q <= 1'b0;
                 ==>
84               cnt_q        <= '0;
85             end else begin
86               filter_out_q <= filter_out_d;
                 ==>
Branches:
| -1- | Status | Tests | 
| 1 | 
Covered | 
T1,T2,T3 | 
| 0 | 
Covered | 
T1,T2,T3 | 
 
Line Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[4].u_pinmux_wkup
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 19 | 5 | 26.32 | 
| CONT_ASSIGN | 45 | 1 | 0 | 0.00 | 
| CONT_ASSIGN | 46 | 1 | 0 | 0.00 | 
| CONT_ASSIGN | 50 | 1 | 0 | 0.00 | 
| CONT_ASSIGN | 52 | 1 | 0 | 0.00 | 
| ALWAYS | 55 | 10 | 0 | 0.00 | 
| ALWAYS | 82 | 5 | 5 | 100.00 | 
44                        logic rising, falling;
45         0/1     ==>    assign falling = ~filter_out_d & filter_out_q;
46         0/1     ==>    assign rising  = filter_out_d & ~filter_out_q;
47                      
48                        logic cnt_en, cnt_eq_th;
49                        logic [WkupCntWidth-1:0] cnt_d, cnt_q;
50         0/1     ==>    assign cnt_d     = (cnt_eq_th) ? '0 : (cnt_en) ? cnt_q + 1'b1 : '0;
51                      
52         0/1     ==>    assign cnt_eq_th = (cnt_q >= wkup_cnt_th_i);
53                      
54                        always_comb begin : p_mode
55         0/1     ==>      aon_wkup_pulse_o = 1'b0;
56         0/1     ==>      cnt_en           = 1'b0;
57         0/1     ==>      if (wkup_en_i) begin
58         0/1     ==>        unique case (wkup_mode_i)
59                              Negedge: begin
60         0/1     ==>            aon_wkup_pulse_o = falling;
61                              end
62                              Edge: begin
63         0/1     ==>            aon_wkup_pulse_o = rising | falling;
64                              end
65                              HighTimed: begin
66         0/1     ==>            cnt_en = filter_out_d;
67         0/1     ==>            aon_wkup_pulse_o = cnt_eq_th;
68                              end
69                              LowTimed: begin
70         0/1     ==>            cnt_en = ~filter_out_d;
71         0/1     ==>            aon_wkup_pulse_o = cnt_eq_th;
72                              end
73                              // Default to rising
74                              default: begin
75                                aon_wkup_pulse_o = rising;
76                              end
77                            endcase
78                          end
                   ==>  MISSING_ELSE
79                        end
80                      
81                        always_ff @(posedge clk_i or negedge rst_ni) begin : p_aon_pattern
82         1/1              if (!rst_ni) begin
           Tests:       T1 T2 T3 
83         1/1                filter_out_q <= 1'b0;
           Tests:       T1 T2 T3 
84         1/1                cnt_q        <= '0;
           Tests:       T1 T2 T3 
85                          end else begin
86         1/1                filter_out_q <= filter_out_d;
           Tests:       T1 T2 T3 
87         1/1                cnt_q        <= cnt_d;
           Tests:       T1 T2 T3 
Cond Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[4].u_pinmux_wkup
 | Total | Covered | Percent | 
| Conditions | 13 | 3 | 23.08 | 
| Logical | 13 | 3 | 23.08 | 
| Non-Logical | 0 | 0 |  | 
| Event | 0 | 0 |  | 
 LINE       45
 EXPRESSION (((~filter_out_d)) & filter_out_q)
             --------1--------   ------2-----
| -1- | -2- | Status | Tests |                       
| 0 | 1 | Not Covered |  | 
| 1 | 0 | Covered | T1,T2,T3 | 
| 1 | 1 | Not Covered |  | 
 LINE       46
 EXPRESSION (filter_out_d & ((~filter_out_q)))
             ------1-----   --------2--------
| -1- | -2- | Status | Tests |                       
| 0 | 1 | Covered | T1,T2,T3 | 
| 1 | 0 | Not Covered |  | 
| 1 | 1 | Not Covered |  | 
 LINE       50
 EXPRESSION (cnt_eq_th ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : '0))
             ----1----
| -1- | Status | Tests |                       
| 0 | Not Covered |  | 
| 1 | Covered | T1,T2,T3 | 
 LINE       50
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : '0)
                 ---1--
| -1- | Status | Tests |                       
| 0 | Not Covered |  | 
| 1 | Not Covered |  | 
 LINE       63
 EXPRESSION (rising | falling)
             ---1--   ---2---
| -1- | -2- | Status | Tests |                       
| 0 | 0 | Not Covered |  | 
| 0 | 1 | Not Covered |  | 
| 1 | 0 | Not Covered |  | 
Branch Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[4].u_pinmux_wkup
 | Line No. | Total | Covered | Percent | 
| Branches | 
 | 
11 | 
3 | 
27.27  | 
| TERNARY | 
50 | 
3 | 
1 | 
33.33  | 
| IF | 
57 | 
6 | 
0 | 
0.00   | 
| IF | 
82 | 
2 | 
2 | 
100.00 | 
50           assign cnt_d     = (cnt_eq_th) ? '0 : (cnt_en) ? cnt_q + 1'b1 : '0;
                                            -1-             -2-   
                                            ==>             ==>   
                                                            ==>  
Branches:
| -1- | -2- | Status | Tests | 
| 1 | 
- | 
Covered | 
T1,T2,T3 | 
| 0 | 
1 | 
Not Covered | 
 | 
| 0 | 
0 | 
Not Covered | 
 | 
57             if (wkup_en_i) begin
               -1-  
58               unique case (wkup_mode_i)
                        -2-  
59                 Negedge: begin
60                   aon_wkup_pulse_o = falling;
                     ==>
61                 end
62                 Edge: begin
63                   aon_wkup_pulse_o = rising | falling;
                     ==>
64                 end
65                 HighTimed: begin
66                   cnt_en = filter_out_d;
                     ==>
67                   aon_wkup_pulse_o = cnt_eq_th;
68                 end
69                 LowTimed: begin
70                   cnt_en = ~filter_out_d;
                     ==>
71                   aon_wkup_pulse_o = cnt_eq_th;
72                 end
73                 // Default to rising
74                 default: begin
75                   aon_wkup_pulse_o = rising;
                     ==>
76                 end
77               endcase
78             end
               MISSING_ELSE
               ==>
Branches:
| -1- | -2- | Status | Tests | 
| 1 | 
Negedge  | 
Not Covered | 
 | 
| 1 | 
Edge  | 
Not Covered | 
 | 
| 1 | 
HighTimed  | 
Not Covered | 
 | 
| 1 | 
LowTimed  | 
Not Covered | 
 | 
| 1 | 
default | 
Not Covered | 
 | 
| 0 | 
- | 
Not Covered | 
 | 
82             if (!rst_ni) begin
               -1-  
83               filter_out_q <= 1'b0;
                 ==>
84               cnt_q        <= '0;
85             end else begin
86               filter_out_q <= filter_out_d;
                 ==>
Branches:
| -1- | Status | Tests | 
| 1 | 
Covered | 
T1,T2,T3 | 
| 0 | 
Covered | 
T1,T2,T3 | 
 
Line Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[6].u_pinmux_wkup
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 19 | 5 | 26.32 | 
| CONT_ASSIGN | 45 | 1 | 0 | 0.00 | 
| CONT_ASSIGN | 46 | 1 | 0 | 0.00 | 
| CONT_ASSIGN | 50 | 1 | 0 | 0.00 | 
| CONT_ASSIGN | 52 | 1 | 0 | 0.00 | 
| ALWAYS | 55 | 10 | 0 | 0.00 | 
| ALWAYS | 82 | 5 | 5 | 100.00 | 
44                        logic rising, falling;
45         0/1     ==>    assign falling = ~filter_out_d & filter_out_q;
46         0/1     ==>    assign rising  = filter_out_d & ~filter_out_q;
47                      
48                        logic cnt_en, cnt_eq_th;
49                        logic [WkupCntWidth-1:0] cnt_d, cnt_q;
50         0/1     ==>    assign cnt_d     = (cnt_eq_th) ? '0 : (cnt_en) ? cnt_q + 1'b1 : '0;
51                      
52         0/1     ==>    assign cnt_eq_th = (cnt_q >= wkup_cnt_th_i);
53                      
54                        always_comb begin : p_mode
55         0/1     ==>      aon_wkup_pulse_o = 1'b0;
56         0/1     ==>      cnt_en           = 1'b0;
57         0/1     ==>      if (wkup_en_i) begin
58         0/1     ==>        unique case (wkup_mode_i)
59                              Negedge: begin
60         0/1     ==>            aon_wkup_pulse_o = falling;
61                              end
62                              Edge: begin
63         0/1     ==>            aon_wkup_pulse_o = rising | falling;
64                              end
65                              HighTimed: begin
66         0/1     ==>            cnt_en = filter_out_d;
67         0/1     ==>            aon_wkup_pulse_o = cnt_eq_th;
68                              end
69                              LowTimed: begin
70         0/1     ==>            cnt_en = ~filter_out_d;
71         0/1     ==>            aon_wkup_pulse_o = cnt_eq_th;
72                              end
73                              // Default to rising
74                              default: begin
75                                aon_wkup_pulse_o = rising;
76                              end
77                            endcase
78                          end
                   ==>  MISSING_ELSE
79                        end
80                      
81                        always_ff @(posedge clk_i or negedge rst_ni) begin : p_aon_pattern
82         1/1              if (!rst_ni) begin
           Tests:       T1 T2 T3 
83         1/1                filter_out_q <= 1'b0;
           Tests:       T1 T2 T3 
84         1/1                cnt_q        <= '0;
           Tests:       T1 T2 T3 
85                          end else begin
86         1/1                filter_out_q <= filter_out_d;
           Tests:       T1 T2 T3 
87         1/1                cnt_q        <= cnt_d;
           Tests:       T1 T2 T3 
Cond Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[6].u_pinmux_wkup
 | Total | Covered | Percent | 
| Conditions | 13 | 3 | 23.08 | 
| Logical | 13 | 3 | 23.08 | 
| Non-Logical | 0 | 0 |  | 
| Event | 0 | 0 |  | 
 LINE       45
 EXPRESSION (((~filter_out_d)) & filter_out_q)
             --------1--------   ------2-----
| -1- | -2- | Status | Tests |                       
| 0 | 1 | Not Covered |  | 
| 1 | 0 | Covered | T1,T2,T3 | 
| 1 | 1 | Not Covered |  | 
 LINE       46
 EXPRESSION (filter_out_d & ((~filter_out_q)))
             ------1-----   --------2--------
| -1- | -2- | Status | Tests |                       
| 0 | 1 | Covered | T1,T2,T3 | 
| 1 | 0 | Not Covered |  | 
| 1 | 1 | Not Covered |  | 
 LINE       50
 EXPRESSION (cnt_eq_th ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : '0))
             ----1----
| -1- | Status | Tests |                       
| 0 | Not Covered |  | 
| 1 | Covered | T1,T2,T3 | 
 LINE       50
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : '0)
                 ---1--
| -1- | Status | Tests |                       
| 0 | Not Covered |  | 
| 1 | Not Covered |  | 
 LINE       63
 EXPRESSION (rising | falling)
             ---1--   ---2---
| -1- | -2- | Status | Tests |                       
| 0 | 0 | Not Covered |  | 
| 0 | 1 | Not Covered |  | 
| 1 | 0 | Not Covered |  | 
Branch Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[6].u_pinmux_wkup
 | Line No. | Total | Covered | Percent | 
| Branches | 
 | 
11 | 
3 | 
27.27  | 
| TERNARY | 
50 | 
3 | 
1 | 
33.33  | 
| IF | 
57 | 
6 | 
0 | 
0.00   | 
| IF | 
82 | 
2 | 
2 | 
100.00 | 
50           assign cnt_d     = (cnt_eq_th) ? '0 : (cnt_en) ? cnt_q + 1'b1 : '0;
                                            -1-             -2-   
                                            ==>             ==>   
                                                            ==>  
Branches:
| -1- | -2- | Status | Tests | 
| 1 | 
- | 
Covered | 
T1,T2,T3 | 
| 0 | 
1 | 
Not Covered | 
 | 
| 0 | 
0 | 
Not Covered | 
 | 
57             if (wkup_en_i) begin
               -1-  
58               unique case (wkup_mode_i)
                        -2-  
59                 Negedge: begin
60                   aon_wkup_pulse_o = falling;
                     ==>
61                 end
62                 Edge: begin
63                   aon_wkup_pulse_o = rising | falling;
                     ==>
64                 end
65                 HighTimed: begin
66                   cnt_en = filter_out_d;
                     ==>
67                   aon_wkup_pulse_o = cnt_eq_th;
68                 end
69                 LowTimed: begin
70                   cnt_en = ~filter_out_d;
                     ==>
71                   aon_wkup_pulse_o = cnt_eq_th;
72                 end
73                 // Default to rising
74                 default: begin
75                   aon_wkup_pulse_o = rising;
                     ==>
76                 end
77               endcase
78             end
               MISSING_ELSE
               ==>
Branches:
| -1- | -2- | Status | Tests | 
| 1 | 
Negedge  | 
Not Covered | 
 | 
| 1 | 
Edge  | 
Not Covered | 
 | 
| 1 | 
HighTimed  | 
Not Covered | 
 | 
| 1 | 
LowTimed  | 
Not Covered | 
 | 
| 1 | 
default | 
Not Covered | 
 | 
| 0 | 
- | 
Not Covered | 
 | 
82             if (!rst_ni) begin
               -1-  
83               filter_out_q <= 1'b0;
                 ==>
84               cnt_q        <= '0;
85             end else begin
86               filter_out_q <= filter_out_d;
                 ==>
Branches:
| -1- | Status | Tests | 
| 1 | 
Covered | 
T1,T2,T3 | 
| 0 | 
Covered | 
T1,T2,T3 | 
 
Line Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[1].u_pinmux_wkup
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 19 | 10 | 52.63 | 
| CONT_ASSIGN | 45 | 1 | 0 | 0.00 | 
| CONT_ASSIGN | 46 | 1 | 0 | 0.00 | 
| CONT_ASSIGN | 50 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 52 | 1 | 1 | 100.00 | 
| ALWAYS | 55 | 10 | 3 | 30.00 | 
| ALWAYS | 82 | 5 | 5 | 100.00 | 
44                        logic rising, falling;
45         0/1     ==>    assign falling = ~filter_out_d & filter_out_q;
46         0/1     ==>    assign rising  = filter_out_d & ~filter_out_q;
47                      
48                        logic cnt_en, cnt_eq_th;
49                        logic [WkupCntWidth-1:0] cnt_d, cnt_q;
50         1/1            assign cnt_d     = (cnt_eq_th) ? '0 : (cnt_en) ? cnt_q + 1'b1 : '0;
           Tests:       T86 T120 T121 
51                      
52         1/1            assign cnt_eq_th = (cnt_q >= wkup_cnt_th_i);
           Tests:       T86 T120 T121 
53                      
54                        always_comb begin : p_mode
55         1/1              aon_wkup_pulse_o = 1'b0;
           Tests:       T86 T120 T70 
56         1/1              cnt_en           = 1'b0;
           Tests:       T86 T120 T70 
57         1/1              if (wkup_en_i) begin
           Tests:       T86 T120 T70 
58         0/1     ==>        unique case (wkup_mode_i)
59                              Negedge: begin
60         0/1     ==>            aon_wkup_pulse_o = falling;
61                              end
62                              Edge: begin
63         0/1     ==>            aon_wkup_pulse_o = rising | falling;
64                              end
65                              HighTimed: begin
66         0/1     ==>            cnt_en = filter_out_d;
67         0/1     ==>            aon_wkup_pulse_o = cnt_eq_th;
68                              end
69                              LowTimed: begin
70         0/1     ==>            cnt_en = ~filter_out_d;
71         0/1     ==>            aon_wkup_pulse_o = cnt_eq_th;
72                              end
73                              // Default to rising
74                              default: begin
75                                aon_wkup_pulse_o = rising;
76                              end
77                            endcase
78                          end
                        MISSING_ELSE
79                        end
80                      
81                        always_ff @(posedge clk_i or negedge rst_ni) begin : p_aon_pattern
82         1/1              if (!rst_ni) begin
           Tests:       T1 T2 T3 
83         1/1                filter_out_q <= 1'b0;
           Tests:       T1 T2 T3 
84         1/1                cnt_q        <= '0;
           Tests:       T1 T2 T3 
85                          end else begin
86         1/1                filter_out_q <= filter_out_d;
           Tests:       T1 T2 T3 
87         1/1                cnt_q        <= cnt_d;
           Tests:       T1 T2 T3 
Cond Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[1].u_pinmux_wkup
 | Total | Covered | Percent | 
| Conditions | 13 | 5 | 38.46 | 
| Logical | 13 | 5 | 38.46 | 
| Non-Logical | 0 | 0 |  | 
| Event | 0 | 0 |  | 
 LINE       45
 EXPRESSION (((~filter_out_d)) & filter_out_q)
             --------1--------   ------2-----
| -1- | -2- | Status | Tests |                       
| 0 | 1 | Not Covered |  | 
| 1 | 0 | Covered | T1,T2,T3 | 
| 1 | 1 | Not Covered |  | 
 LINE       46
 EXPRESSION (filter_out_d & ((~filter_out_q)))
             ------1-----   --------2--------
| -1- | -2- | Status | Tests |                       
| 0 | 1 | Covered | T1,T2,T3 | 
| 1 | 0 | Not Covered |  | 
| 1 | 1 | Not Covered |  | 
 LINE       50
 EXPRESSION (cnt_eq_th ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : '0))
             ----1----
| -1- | Status | Tests |                       
| 0 | Covered | T86,T120,T121 | 
| 1 | Covered | T1,T2,T3 | 
 LINE       50
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : '0)
                 ---1--
| -1- | Status | Tests |                       
| 0 | Covered | T86,T120,T121 | 
| 1 | Not Covered |  | 
 LINE       63
 EXPRESSION (rising | falling)
             ---1--   ---2---
| -1- | -2- | Status | Tests |                       
| 0 | 0 | Not Covered |  | 
| 0 | 1 | Not Covered |  | 
| 1 | 0 | Not Covered |  | 
Branch Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[1].u_pinmux_wkup
 | Line No. | Total | Covered | Percent | 
| Branches | 
 | 
11 | 
5 | 
45.45  | 
| TERNARY | 
50 | 
3 | 
2 | 
66.67  | 
| IF | 
57 | 
6 | 
1 | 
16.67  | 
| IF | 
82 | 
2 | 
2 | 
100.00 | 
50           assign cnt_d     = (cnt_eq_th) ? '0 : (cnt_en) ? cnt_q + 1'b1 : '0;
                                            -1-             -2-   
                                            ==>             ==>   
                                                            ==>  
Branches:
| -1- | -2- | Status | Tests | 
| 1 | 
- | 
Covered | 
T1,T2,T3 | 
| 0 | 
1 | 
Not Covered | 
 | 
| 0 | 
0 | 
Covered | 
T86,T120,T121 | 
57             if (wkup_en_i) begin
               -1-  
58               unique case (wkup_mode_i)
                        -2-  
59                 Negedge: begin
60                   aon_wkup_pulse_o = falling;
                     ==>
61                 end
62                 Edge: begin
63                   aon_wkup_pulse_o = rising | falling;
                     ==>
64                 end
65                 HighTimed: begin
66                   cnt_en = filter_out_d;
                     ==>
67                   aon_wkup_pulse_o = cnt_eq_th;
68                 end
69                 LowTimed: begin
70                   cnt_en = ~filter_out_d;
                     ==>
71                   aon_wkup_pulse_o = cnt_eq_th;
72                 end
73                 // Default to rising
74                 default: begin
75                   aon_wkup_pulse_o = rising;
                     ==>
76                 end
77               endcase
78             end
               MISSING_ELSE
               ==>
Branches:
| -1- | -2- | Status | Tests | 
| 1 | 
Negedge  | 
Not Covered | 
 | 
| 1 | 
Edge  | 
Not Covered | 
 | 
| 1 | 
HighTimed  | 
Not Covered | 
 | 
| 1 | 
LowTimed  | 
Not Covered | 
 | 
| 1 | 
default | 
Not Covered | 
 | 
| 0 | 
- | 
Covered | 
T86,T120,T70 | 
82             if (!rst_ni) begin
               -1-  
83               filter_out_q <= 1'b0;
                 ==>
84               cnt_q        <= '0;
85             end else begin
86               filter_out_q <= filter_out_d;
                 ==>
Branches:
| -1- | Status | Tests | 
| 1 | 
Covered | 
T1,T2,T3 | 
| 0 | 
Covered | 
T1,T2,T3 | 
 
Line Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[7].u_pinmux_wkup
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 19 | 10 | 52.63 | 
| CONT_ASSIGN | 45 | 1 | 0 | 0.00 | 
| CONT_ASSIGN | 46 | 1 | 0 | 0.00 | 
| CONT_ASSIGN | 50 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 52 | 1 | 1 | 100.00 | 
| ALWAYS | 55 | 10 | 3 | 30.00 | 
| ALWAYS | 82 | 5 | 5 | 100.00 | 
44                        logic rising, falling;
45         0/1     ==>    assign falling = ~filter_out_d & filter_out_q;
46         0/1     ==>    assign rising  = filter_out_d & ~filter_out_q;
47                      
48                        logic cnt_en, cnt_eq_th;
49                        logic [WkupCntWidth-1:0] cnt_d, cnt_q;
50         1/1            assign cnt_d     = (cnt_eq_th) ? '0 : (cnt_en) ? cnt_q + 1'b1 : '0;
           Tests:       T70 
51                      
52         1/1            assign cnt_eq_th = (cnt_q >= wkup_cnt_th_i);
           Tests:       T70 
53                      
54                        always_comb begin : p_mode
55         1/1              aon_wkup_pulse_o = 1'b0;
           Tests:       T70 
56         1/1              cnt_en           = 1'b0;
           Tests:       T70 
57         1/1              if (wkup_en_i) begin
           Tests:       T70 
58         0/1     ==>        unique case (wkup_mode_i)
59                              Negedge: begin
60         0/1     ==>            aon_wkup_pulse_o = falling;
61                              end
62                              Edge: begin
63         0/1     ==>            aon_wkup_pulse_o = rising | falling;
64                              end
65                              HighTimed: begin
66         0/1     ==>            cnt_en = filter_out_d;
67         0/1     ==>            aon_wkup_pulse_o = cnt_eq_th;
68                              end
69                              LowTimed: begin
70         0/1     ==>            cnt_en = ~filter_out_d;
71         0/1     ==>            aon_wkup_pulse_o = cnt_eq_th;
72                              end
73                              // Default to rising
74                              default: begin
75                                aon_wkup_pulse_o = rising;
76                              end
77                            endcase
78                          end
                        MISSING_ELSE
79                        end
80                      
81                        always_ff @(posedge clk_i or negedge rst_ni) begin : p_aon_pattern
82         1/1              if (!rst_ni) begin
           Tests:       T1 T2 T3 
83         1/1                filter_out_q <= 1'b0;
           Tests:       T1 T2 T3 
84         1/1                cnt_q        <= '0;
           Tests:       T1 T2 T3 
85                          end else begin
86         1/1                filter_out_q <= filter_out_d;
           Tests:       T1 T2 T3 
87         1/1                cnt_q        <= cnt_d;
           Tests:       T1 T2 T3 
Cond Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[7].u_pinmux_wkup
 | Total | Covered | Percent | 
| Conditions | 13 | 5 | 38.46 | 
| Logical | 13 | 5 | 38.46 | 
| Non-Logical | 0 | 0 |  | 
| Event | 0 | 0 |  | 
 LINE       45
 EXPRESSION (((~filter_out_d)) & filter_out_q)
             --------1--------   ------2-----
| -1- | -2- | Status | Tests |                       
| 0 | 1 | Not Covered |  | 
| 1 | 0 | Covered | T1,T2,T3 | 
| 1 | 1 | Not Covered |  | 
 LINE       46
 EXPRESSION (filter_out_d & ((~filter_out_q)))
             ------1-----   --------2--------
| -1- | -2- | Status | Tests |                       
| 0 | 1 | Covered | T1,T2,T3 | 
| 1 | 0 | Not Covered |  | 
| 1 | 1 | Not Covered |  | 
 LINE       50
 EXPRESSION (cnt_eq_th ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : '0))
             ----1----
| -1- | Status | Tests |                       
| 0 | Covered | T70 | 
| 1 | Covered | T1,T2,T3 | 
 LINE       50
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : '0)
                 ---1--
| -1- | Status | Tests |                       
| 0 | Covered | T70 | 
| 1 | Not Covered |  | 
 LINE       63
 EXPRESSION (rising | falling)
             ---1--   ---2---
| -1- | -2- | Status | Tests |                       
| 0 | 0 | Not Covered |  | 
| 0 | 1 | Not Covered |  | 
| 1 | 0 | Not Covered |  | 
Branch Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[7].u_pinmux_wkup
 | Line No. | Total | Covered | Percent | 
| Branches | 
 | 
11 | 
5 | 
45.45  | 
| TERNARY | 
50 | 
3 | 
2 | 
66.67  | 
| IF | 
57 | 
6 | 
1 | 
16.67  | 
| IF | 
82 | 
2 | 
2 | 
100.00 | 
50           assign cnt_d     = (cnt_eq_th) ? '0 : (cnt_en) ? cnt_q + 1'b1 : '0;
                                            -1-             -2-   
                                            ==>             ==>   
                                                            ==>  
Branches:
| -1- | -2- | Status | Tests | 
| 1 | 
- | 
Covered | 
T1,T2,T3 | 
| 0 | 
1 | 
Not Covered | 
 | 
| 0 | 
0 | 
Covered | 
T70 | 
57             if (wkup_en_i) begin
               -1-  
58               unique case (wkup_mode_i)
                        -2-  
59                 Negedge: begin
60                   aon_wkup_pulse_o = falling;
                     ==>
61                 end
62                 Edge: begin
63                   aon_wkup_pulse_o = rising | falling;
                     ==>
64                 end
65                 HighTimed: begin
66                   cnt_en = filter_out_d;
                     ==>
67                   aon_wkup_pulse_o = cnt_eq_th;
68                 end
69                 LowTimed: begin
70                   cnt_en = ~filter_out_d;
                     ==>
71                   aon_wkup_pulse_o = cnt_eq_th;
72                 end
73                 // Default to rising
74                 default: begin
75                   aon_wkup_pulse_o = rising;
                     ==>
76                 end
77               endcase
78             end
               MISSING_ELSE
               ==>
Branches:
| -1- | -2- | Status | Tests | 
| 1 | 
Negedge  | 
Not Covered | 
 | 
| 1 | 
Edge  | 
Not Covered | 
 | 
| 1 | 
HighTimed  | 
Not Covered | 
 | 
| 1 | 
LowTimed  | 
Not Covered | 
 | 
| 1 | 
default | 
Not Covered | 
 | 
| 0 | 
- | 
Covered | 
T70 | 
82             if (!rst_ni) begin
               -1-  
83               filter_out_q <= 1'b0;
                 ==>
84               cnt_q        <= '0;
85             end else begin
86               filter_out_q <= filter_out_d;
                 ==>
Branches:
| -1- | Status | Tests | 
| 1 | 
Covered | 
T1,T2,T3 | 
| 0 | 
Covered | 
T1,T2,T3 | 
 
Line Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[3].u_pinmux_wkup
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 19 | 13 | 68.42 | 
| CONT_ASSIGN | 45 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 46 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 50 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 52 | 1 | 1 | 100.00 | 
| ALWAYS | 55 | 10 | 4 | 40.00 | 
| ALWAYS | 82 | 5 | 5 | 100.00 | 
44                        logic rising, falling;
45         1/1            assign falling = ~filter_out_d & filter_out_q;
           Tests:       T72 
46         1/1            assign rising  = filter_out_d & ~filter_out_q;
           Tests:       T72 
47                      
48                        logic cnt_en, cnt_eq_th;
49                        logic [WkupCntWidth-1:0] cnt_d, cnt_q;
50         1/1            assign cnt_d     = (cnt_eq_th) ? '0 : (cnt_en) ? cnt_q + 1'b1 : '0;
           Tests:       T70 
51                      
52         1/1            assign cnt_eq_th = (cnt_q >= wkup_cnt_th_i);
           Tests:       T70 
53                      
54                        always_comb begin : p_mode
55         1/1              aon_wkup_pulse_o = 1'b0;
           Tests:       T72 T70 
56         1/1              cnt_en           = 1'b0;
           Tests:       T72 T70 
57         1/1              if (wkup_en_i) begin
           Tests:       T72 T70 
58         1/1                unique case (wkup_mode_i)
           Tests:       T72 
59                              Negedge: begin
60         0/1     ==>            aon_wkup_pulse_o = falling;
61                              end
62                              Edge: begin
63         0/1     ==>            aon_wkup_pulse_o = rising | falling;
64                              end
65                              HighTimed: begin
66         0/1     ==>            cnt_en = filter_out_d;
67         0/1     ==>            aon_wkup_pulse_o = cnt_eq_th;
68                              end
69                              LowTimed: begin
70         0/1     ==>            cnt_en = ~filter_out_d;
71         0/1     ==>            aon_wkup_pulse_o = cnt_eq_th;
72                              end
73                              // Default to rising
74                              default: begin
75                                aon_wkup_pulse_o = rising;
76                              end
77                            endcase
78                          end
                        MISSING_ELSE
79                        end
80                      
81                        always_ff @(posedge clk_i or negedge rst_ni) begin : p_aon_pattern
82         1/1              if (!rst_ni) begin
           Tests:       T1 T2 T3 
83         1/1                filter_out_q <= 1'b0;
           Tests:       T1 T2 T3 
84         1/1                cnt_q        <= '0;
           Tests:       T1 T2 T3 
85                          end else begin
86         1/1                filter_out_q <= filter_out_d;
           Tests:       T1 T2 T3 
87         1/1                cnt_q        <= cnt_d;
           Tests:       T1 T2 T3 
Cond Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[3].u_pinmux_wkup
 | Total | Covered | Percent | 
| Conditions | 13 | 8 | 61.54 | 
| Logical | 13 | 8 | 61.54 | 
| Non-Logical | 0 | 0 |  | 
| Event | 0 | 0 |  | 
 LINE       45
 EXPRESSION (((~filter_out_d)) & filter_out_q)
             --------1--------   ------2-----
| -1- | -2- | Status | Tests |                       
| 0 | 1 | Covered | T72 | 
| 1 | 0 | Covered | T1,T2,T3 | 
| 1 | 1 | Not Covered |  | 
 LINE       46
 EXPRESSION (filter_out_d & ((~filter_out_q)))
             ------1-----   --------2--------
| -1- | -2- | Status | Tests |                       
| 0 | 1 | Covered | T1,T2,T3 | 
| 1 | 0 | Covered | T72 | 
| 1 | 1 | Covered | T72 | 
 LINE       50
 EXPRESSION (cnt_eq_th ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : '0))
             ----1----
| -1- | Status | Tests |                       
| 0 | Covered | T70 | 
| 1 | Covered | T1,T2,T3 | 
 LINE       50
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : '0)
                 ---1--
| -1- | Status | Tests |                       
| 0 | Covered | T70 | 
| 1 | Not Covered |  | 
 LINE       63
 EXPRESSION (rising | falling)
             ---1--   ---2---
| -1- | -2- | Status | Tests |                       
| 0 | 0 | Not Covered |  | 
| 0 | 1 | Not Covered |  | 
| 1 | 0 | Not Covered |  | 
Branch Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[3].u_pinmux_wkup
 | Line No. | Total | Covered | Percent | 
| Branches | 
 | 
11 | 
6 | 
54.55  | 
| TERNARY | 
50 | 
3 | 
2 | 
66.67  | 
| IF | 
57 | 
6 | 
2 | 
33.33  | 
| IF | 
82 | 
2 | 
2 | 
100.00 | 
50           assign cnt_d     = (cnt_eq_th) ? '0 : (cnt_en) ? cnt_q + 1'b1 : '0;
                                            -1-             -2-   
                                            ==>             ==>   
                                                            ==>  
Branches:
| -1- | -2- | Status | Tests | 
| 1 | 
- | 
Covered | 
T1,T2,T3 | 
| 0 | 
1 | 
Not Covered | 
 | 
| 0 | 
0 | 
Covered | 
T70 | 
57             if (wkup_en_i) begin
               -1-  
58               unique case (wkup_mode_i)
                        -2-  
59                 Negedge: begin
60                   aon_wkup_pulse_o = falling;
                     ==>
61                 end
62                 Edge: begin
63                   aon_wkup_pulse_o = rising | falling;
                     ==>
64                 end
65                 HighTimed: begin
66                   cnt_en = filter_out_d;
                     ==>
67                   aon_wkup_pulse_o = cnt_eq_th;
68                 end
69                 LowTimed: begin
70                   cnt_en = ~filter_out_d;
                     ==>
71                   aon_wkup_pulse_o = cnt_eq_th;
72                 end
73                 // Default to rising
74                 default: begin
75                   aon_wkup_pulse_o = rising;
                     ==>
76                 end
77               endcase
78             end
               MISSING_ELSE
               ==>
Branches:
| -1- | -2- | Status | Tests | 
| 1 | 
Negedge  | 
Not Covered | 
 | 
| 1 | 
Edge  | 
Not Covered | 
 | 
| 1 | 
HighTimed  | 
Not Covered | 
 | 
| 1 | 
LowTimed  | 
Not Covered | 
 | 
| 1 | 
default | 
Covered | 
T72 | 
| 0 | 
- | 
Covered | 
T72,T70 | 
82             if (!rst_ni) begin
               -1-  
83               filter_out_q <= 1'b0;
                 ==>
84               cnt_q        <= '0;
85             end else begin
86               filter_out_q <= filter_out_d;
                 ==>
Branches:
| -1- | Status | Tests | 
| 1 | 
Covered | 
T1,T2,T3 | 
| 0 | 
Covered | 
T1,T2,T3 | 
 
Line Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[2].u_pinmux_wkup
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 19 | 13 | 68.42 | 
| CONT_ASSIGN | 45 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 46 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 50 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 52 | 1 | 1 | 100.00 | 
| ALWAYS | 55 | 10 | 4 | 40.00 | 
| ALWAYS | 82 | 5 | 5 | 100.00 | 
44                        logic rising, falling;
45         1/1            assign falling = ~filter_out_d & filter_out_q;
           Tests:       T27 T70 
46         1/1            assign rising  = filter_out_d & ~filter_out_q;
           Tests:       T27 T70 
47                      
48                        logic cnt_en, cnt_eq_th;
49                        logic [WkupCntWidth-1:0] cnt_d, cnt_q;
50         1/1            assign cnt_d     = (cnt_eq_th) ? '0 : (cnt_en) ? cnt_q + 1'b1 : '0;
           Tests:       T70 
51                      
52         1/1            assign cnt_eq_th = (cnt_q >= wkup_cnt_th_i);
           Tests:       T70 
53                      
54                        always_comb begin : p_mode
55         1/1              aon_wkup_pulse_o = 1'b0;
           Tests:       T27 T70 
56         1/1              cnt_en           = 1'b0;
           Tests:       T27 T70 
57         1/1              if (wkup_en_i) begin
           Tests:       T27 T70 
58         1/1                unique case (wkup_mode_i)
           Tests:       T27 
59                              Negedge: begin
60         0/1     ==>            aon_wkup_pulse_o = falling;
61                              end
62                              Edge: begin
63         0/1     ==>            aon_wkup_pulse_o = rising | falling;
64                              end
65                              HighTimed: begin
66         0/1     ==>            cnt_en = filter_out_d;
67         0/1     ==>            aon_wkup_pulse_o = cnt_eq_th;
68                              end
69                              LowTimed: begin
70         0/1     ==>            cnt_en = ~filter_out_d;
71         0/1     ==>            aon_wkup_pulse_o = cnt_eq_th;
72                              end
73                              // Default to rising
74                              default: begin
75                                aon_wkup_pulse_o = rising;
76                              end
77                            endcase
78                          end
                        MISSING_ELSE
79                        end
80                      
81                        always_ff @(posedge clk_i or negedge rst_ni) begin : p_aon_pattern
82         1/1              if (!rst_ni) begin
           Tests:       T1 T2 T3 
83         1/1                filter_out_q <= 1'b0;
           Tests:       T1 T2 T3 
84         1/1                cnt_q        <= '0;
           Tests:       T1 T2 T3 
85                          end else begin
86         1/1                filter_out_q <= filter_out_d;
           Tests:       T1 T2 T3 
87         1/1                cnt_q        <= cnt_d;
           Tests:       T1 T2 T3 
Cond Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[2].u_pinmux_wkup
 | Total | Covered | Percent | 
| Conditions | 13 | 9 | 69.23 | 
| Logical | 13 | 9 | 69.23 | 
| Non-Logical | 0 | 0 |  | 
| Event | 0 | 0 |  | 
 LINE       45
 EXPRESSION (((~filter_out_d)) & filter_out_q)
             --------1--------   ------2-----
| -1- | -2- | Status | Tests |                       
| 0 | 1 | Covered | T27,T70 | 
| 1 | 0 | Covered | T1,T2,T3 | 
| 1 | 1 | Covered | T70 | 
 LINE       46
 EXPRESSION (filter_out_d & ((~filter_out_q)))
             ------1-----   --------2--------
| -1- | -2- | Status | Tests |                       
| 0 | 1 | Covered | T1,T2,T3 | 
| 1 | 0 | Covered | T27,T70 | 
| 1 | 1 | Covered | T27,T70 | 
 LINE       50
 EXPRESSION (cnt_eq_th ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : '0))
             ----1----
| -1- | Status | Tests |                       
| 0 | Covered | T70 | 
| 1 | Covered | T1,T2,T3 | 
 LINE       50
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : '0)
                 ---1--
| -1- | Status | Tests |                       
| 0 | Covered | T70 | 
| 1 | Not Covered |  | 
 LINE       63
 EXPRESSION (rising | falling)
             ---1--   ---2---
| -1- | -2- | Status | Tests |                       
| 0 | 0 | Not Covered |  | 
| 0 | 1 | Not Covered |  | 
| 1 | 0 | Not Covered |  | 
Branch Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[2].u_pinmux_wkup
 | Line No. | Total | Covered | Percent | 
| Branches | 
 | 
11 | 
6 | 
54.55  | 
| TERNARY | 
50 | 
3 | 
2 | 
66.67  | 
| IF | 
57 | 
6 | 
2 | 
33.33  | 
| IF | 
82 | 
2 | 
2 | 
100.00 | 
50           assign cnt_d     = (cnt_eq_th) ? '0 : (cnt_en) ? cnt_q + 1'b1 : '0;
                                            -1-             -2-   
                                            ==>             ==>   
                                                            ==>  
Branches:
| -1- | -2- | Status | Tests | 
| 1 | 
- | 
Covered | 
T1,T2,T3 | 
| 0 | 
1 | 
Not Covered | 
 | 
| 0 | 
0 | 
Covered | 
T70 | 
57             if (wkup_en_i) begin
               -1-  
58               unique case (wkup_mode_i)
                        -2-  
59                 Negedge: begin
60                   aon_wkup_pulse_o = falling;
                     ==>
61                 end
62                 Edge: begin
63                   aon_wkup_pulse_o = rising | falling;
                     ==>
64                 end
65                 HighTimed: begin
66                   cnt_en = filter_out_d;
                     ==>
67                   aon_wkup_pulse_o = cnt_eq_th;
68                 end
69                 LowTimed: begin
70                   cnt_en = ~filter_out_d;
                     ==>
71                   aon_wkup_pulse_o = cnt_eq_th;
72                 end
73                 // Default to rising
74                 default: begin
75                   aon_wkup_pulse_o = rising;
                     ==>
76                 end
77               endcase
78             end
               MISSING_ELSE
               ==>
Branches:
| -1- | -2- | Status | Tests | 
| 1 | 
Negedge  | 
Not Covered | 
 | 
| 1 | 
Edge  | 
Not Covered | 
 | 
| 1 | 
HighTimed  | 
Not Covered | 
 | 
| 1 | 
LowTimed  | 
Not Covered | 
 | 
| 1 | 
default | 
Covered | 
T27 | 
| 0 | 
- | 
Covered | 
T27,T70 | 
82             if (!rst_ni) begin
               -1-  
83               filter_out_q <= 1'b0;
                 ==>
84               cnt_q        <= '0;
85             end else begin
86               filter_out_q <= filter_out_d;
                 ==>
Branches:
| -1- | Status | Tests | 
| 1 | 
Covered | 
T1,T2,T3 | 
| 0 | 
Covered | 
T1,T2,T3 | 
 
Line Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[5].u_pinmux_wkup
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 19 | 13 | 68.42 | 
| CONT_ASSIGN | 45 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 46 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 50 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 52 | 1 | 1 | 100.00 | 
| ALWAYS | 55 | 10 | 4 | 40.00 | 
| ALWAYS | 82 | 5 | 5 | 100.00 | 
44                        logic rising, falling;
45         1/1            assign falling = ~filter_out_d & filter_out_q;
           Tests:       T25 T73 T74 
46         1/1            assign rising  = filter_out_d & ~filter_out_q;
           Tests:       T25 T73 T74 
47                      
48                        logic cnt_en, cnt_eq_th;
49                        logic [WkupCntWidth-1:0] cnt_d, cnt_q;
50         1/1            assign cnt_d     = (cnt_eq_th) ? '0 : (cnt_en) ? cnt_q + 1'b1 : '0;
           Tests:       T70 
51                      
52         1/1            assign cnt_eq_th = (cnt_q >= wkup_cnt_th_i);
           Tests:       T70 
53                      
54                        always_comb begin : p_mode
55         1/1              aon_wkup_pulse_o = 1'b0;
           Tests:       T25 T73 T74 
56         1/1              cnt_en           = 1'b0;
           Tests:       T25 T73 T74 
57         1/1              if (wkup_en_i) begin
           Tests:       T25 T73 T74 
58         1/1                unique case (wkup_mode_i)
           Tests:       T25 T73 T74 
59                              Negedge: begin
60         0/1     ==>            aon_wkup_pulse_o = falling;
61                              end
62                              Edge: begin
63         0/1     ==>            aon_wkup_pulse_o = rising | falling;
64                              end
65                              HighTimed: begin
66         0/1     ==>            cnt_en = filter_out_d;
67         0/1     ==>            aon_wkup_pulse_o = cnt_eq_th;
68                              end
69                              LowTimed: begin
70         0/1     ==>            cnt_en = ~filter_out_d;
71         0/1     ==>            aon_wkup_pulse_o = cnt_eq_th;
72                              end
73                              // Default to rising
74                              default: begin
75                                aon_wkup_pulse_o = rising;
76                              end
77                            endcase
78                          end
                        MISSING_ELSE
79                        end
80                      
81                        always_ff @(posedge clk_i or negedge rst_ni) begin : p_aon_pattern
82         1/1              if (!rst_ni) begin
           Tests:       T1 T2 T3 
83         1/1                filter_out_q <= 1'b0;
           Tests:       T1 T2 T3 
84         1/1                cnt_q        <= '0;
           Tests:       T1 T2 T3 
85                          end else begin
86         1/1                filter_out_q <= filter_out_d;
           Tests:       T1 T2 T3 
87         1/1                cnt_q        <= cnt_d;
           Tests:       T1 T2 T3 
Cond Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[5].u_pinmux_wkup
 | Total | Covered | Percent | 
| Conditions | 13 | 9 | 69.23 | 
| Logical | 13 | 9 | 69.23 | 
| Non-Logical | 0 | 0 |  | 
| Event | 0 | 0 |  | 
 LINE       45
 EXPRESSION (((~filter_out_d)) & filter_out_q)
             --------1--------   ------2-----
| -1- | -2- | Status | Tests |                       
| 0 | 1 | Covered | T25,T73,T74 | 
| 1 | 0 | Covered | T1,T2,T3 | 
| 1 | 1 | Covered | T73,T74,T90 | 
 LINE       46
 EXPRESSION (filter_out_d & ((~filter_out_q)))
             ------1-----   --------2--------
| -1- | -2- | Status | Tests |                       
| 0 | 1 | Covered | T1,T2,T3 | 
| 1 | 0 | Covered | T25,T73,T74 | 
| 1 | 1 | Covered | T25,T73,T74 | 
 LINE       50
 EXPRESSION (cnt_eq_th ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : '0))
             ----1----
| -1- | Status | Tests |                       
| 0 | Covered | T70 | 
| 1 | Covered | T1,T2,T3 | 
 LINE       50
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : '0)
                 ---1--
| -1- | Status | Tests |                       
| 0 | Covered | T70 | 
| 1 | Not Covered |  | 
 LINE       63
 EXPRESSION (rising | falling)
             ---1--   ---2---
| -1- | -2- | Status | Tests |                       
| 0 | 0 | Not Covered |  | 
| 0 | 1 | Not Covered |  | 
| 1 | 0 | Not Covered |  | 
Branch Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[5].u_pinmux_wkup
 | Line No. | Total | Covered | Percent | 
| Branches | 
 | 
11 | 
6 | 
54.55  | 
| TERNARY | 
50 | 
3 | 
2 | 
66.67  | 
| IF | 
57 | 
6 | 
2 | 
33.33  | 
| IF | 
82 | 
2 | 
2 | 
100.00 | 
50           assign cnt_d     = (cnt_eq_th) ? '0 : (cnt_en) ? cnt_q + 1'b1 : '0;
                                            -1-             -2-   
                                            ==>             ==>   
                                                            ==>  
Branches:
| -1- | -2- | Status | Tests | 
| 1 | 
- | 
Covered | 
T1,T2,T3 | 
| 0 | 
1 | 
Not Covered | 
 | 
| 0 | 
0 | 
Covered | 
T70 | 
57             if (wkup_en_i) begin
               -1-  
58               unique case (wkup_mode_i)
                        -2-  
59                 Negedge: begin
60                   aon_wkup_pulse_o = falling;
                     ==>
61                 end
62                 Edge: begin
63                   aon_wkup_pulse_o = rising | falling;
                     ==>
64                 end
65                 HighTimed: begin
66                   cnt_en = filter_out_d;
                     ==>
67                   aon_wkup_pulse_o = cnt_eq_th;
68                 end
69                 LowTimed: begin
70                   cnt_en = ~filter_out_d;
                     ==>
71                   aon_wkup_pulse_o = cnt_eq_th;
72                 end
73                 // Default to rising
74                 default: begin
75                   aon_wkup_pulse_o = rising;
                     ==>
76                 end
77               endcase
78             end
               MISSING_ELSE
               ==>
Branches:
| -1- | -2- | Status | Tests | 
| 1 | 
Negedge  | 
Not Covered | 
 | 
| 1 | 
Edge  | 
Not Covered | 
 | 
| 1 | 
HighTimed  | 
Not Covered | 
 | 
| 1 | 
LowTimed  | 
Not Covered | 
 | 
| 1 | 
default | 
Covered | 
T25,T73,T74 | 
| 0 | 
- | 
Covered | 
T25,T74,T122 | 
82             if (!rst_ni) begin
               -1-  
83               filter_out_q <= 1'b0;
                 ==>
84               cnt_q        <= '0;
85             end else begin
86               filter_out_q <= filter_out_d;
                 ==>
Branches:
| -1- | Status | Tests | 
| 1 | 
Covered | 
T1,T2,T3 | 
| 0 | 
Covered | 
T1,T2,T3 | 
 
Line Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[0].u_pinmux_wkup
 | Line No. | Total | Covered | Percent | 
| TOTAL |  | 19 | 13 | 68.42 | 
| CONT_ASSIGN | 45 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 46 | 1 | 1 | 100.00 | 
| CONT_ASSIGN | 50 | 1 | 0 | 0.00 | 
| CONT_ASSIGN | 52 | 1 | 0 | 0.00 | 
| ALWAYS | 55 | 10 | 6 | 60.00 | 
| ALWAYS | 82 | 5 | 5 | 100.00 | 
44                        logic rising, falling;
45         1/1            assign falling = ~filter_out_d & filter_out_q;
           Tests:       T6 T7 T71 
46         1/1            assign rising  = filter_out_d & ~filter_out_q;
           Tests:       T6 T7 T71 
47                      
48                        logic cnt_en, cnt_eq_th;
49                        logic [WkupCntWidth-1:0] cnt_d, cnt_q;
50         0/1     ==>    assign cnt_d     = (cnt_eq_th) ? '0 : (cnt_en) ? cnt_q + 1'b1 : '0;
51                      
52         0/1     ==>    assign cnt_eq_th = (cnt_q >= wkup_cnt_th_i);
53                      
54                        always_comb begin : p_mode
55         1/1              aon_wkup_pulse_o = 1'b0;
           Tests:       T6 T7 T71 
56         1/1              cnt_en           = 1'b0;
           Tests:       T6 T7 T71 
57         1/1              if (wkup_en_i) begin
           Tests:       T6 T7 T71 
58         1/1                unique case (wkup_mode_i)
           Tests:       T6 T7 T71 
59                              Negedge: begin
60         1/1                    aon_wkup_pulse_o = falling;
           Tests:       T7 T79 T80 
61                              end
62                              Edge: begin
63         1/1                    aon_wkup_pulse_o = rising | falling;
           Tests:       T7 T79 T80 
64                              end
65                              HighTimed: begin
66         0/1     ==>            cnt_en = filter_out_d;
67         0/1     ==>            aon_wkup_pulse_o = cnt_eq_th;
68                              end
69                              LowTimed: begin
70         0/1     ==>            cnt_en = ~filter_out_d;
71         0/1     ==>            aon_wkup_pulse_o = cnt_eq_th;
72                              end
73                              // Default to rising
74                              default: begin
75                                aon_wkup_pulse_o = rising;
76                              end
77                            endcase
78                          end
                        MISSING_ELSE
79                        end
80                      
81                        always_ff @(posedge clk_i or negedge rst_ni) begin : p_aon_pattern
82         1/1              if (!rst_ni) begin
           Tests:       T1 T2 T3 
83         1/1                filter_out_q <= 1'b0;
           Tests:       T1 T2 T3 
84         1/1                cnt_q        <= '0;
           Tests:       T1 T2 T3 
85                          end else begin
86         1/1                filter_out_q <= filter_out_d;
           Tests:       T1 T2 T3 
87         1/1                cnt_q        <= cnt_d;
           Tests:       T1 T2 T3 
Cond Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[0].u_pinmux_wkup
 | Total | Covered | Percent | 
| Conditions | 13 | 10 | 76.92 | 
| Logical | 13 | 10 | 76.92 | 
| Non-Logical | 0 | 0 |  | 
| Event | 0 | 0 |  | 
 LINE       45
 EXPRESSION (((~filter_out_d)) & filter_out_q)
             --------1--------   ------2-----
| -1- | -2- | Status | Tests |                       
| 0 | 1 | Covered | T6,T7,T71 | 
| 1 | 0 | Covered | T1,T2,T3 | 
| 1 | 1 | Covered | T6,T7,T71 | 
 LINE       46
 EXPRESSION (filter_out_d & ((~filter_out_q)))
             ------1-----   --------2--------
| -1- | -2- | Status | Tests |                       
| 0 | 1 | Covered | T1,T2,T3 | 
| 1 | 0 | Covered | T6,T7,T71 | 
| 1 | 1 | Covered | T6,T7,T71 | 
 LINE       50
 EXPRESSION (cnt_eq_th ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : '0))
             ----1----
| -1- | Status | Tests |                       
| 0 | Not Covered |  | 
| 1 | Covered | T1,T2,T3 | 
 LINE       50
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : '0)
                 ---1--
| -1- | Status | Tests |                       
| 0 | Not Covered |  | 
| 1 | Not Covered |  | 
 LINE       63
 EXPRESSION (rising | falling)
             ---1--   ---2---
| -1- | -2- | Status | Tests |                       
| 0 | 0 | Covered | T7,T79,T80 | 
| 0 | 1 | Covered | T7,T79,T80 | 
| 1 | 0 | Covered | T7,T79,T80 | 
Branch Coverage for Instance : tb.dut.top_earlgrey.u_pinmux_aon.gen_wkup_detect[0].u_pinmux_wkup
 | Line No. | Total | Covered | Percent | 
| Branches | 
 | 
11 | 
7 | 
63.64  | 
| TERNARY | 
50 | 
3 | 
1 | 
33.33  | 
| IF | 
57 | 
6 | 
4 | 
66.67  | 
| IF | 
82 | 
2 | 
2 | 
100.00 | 
50           assign cnt_d     = (cnt_eq_th) ? '0 : (cnt_en) ? cnt_q + 1'b1 : '0;
                                            -1-             -2-   
                                            ==>             ==>   
                                                            ==>  
Branches:
| -1- | -2- | Status | Tests | 
| 1 | 
- | 
Covered | 
T1,T2,T3 | 
| 0 | 
1 | 
Not Covered | 
 | 
| 0 | 
0 | 
Not Covered | 
 | 
57             if (wkup_en_i) begin
               -1-  
58               unique case (wkup_mode_i)
                        -2-  
59                 Negedge: begin
60                   aon_wkup_pulse_o = falling;
                     ==>
61                 end
62                 Edge: begin
63                   aon_wkup_pulse_o = rising | falling;
                     ==>
64                 end
65                 HighTimed: begin
66                   cnt_en = filter_out_d;
                     ==>
67                   aon_wkup_pulse_o = cnt_eq_th;
68                 end
69                 LowTimed: begin
70                   cnt_en = ~filter_out_d;
                     ==>
71                   aon_wkup_pulse_o = cnt_eq_th;
72                 end
73                 // Default to rising
74                 default: begin
75                   aon_wkup_pulse_o = rising;
                     ==>
76                 end
77               endcase
78             end
               MISSING_ELSE
               ==>
Branches:
| -1- | -2- | Status | Tests | 
| 1 | 
Negedge  | 
Covered | 
T7,T79,T80 | 
| 1 | 
Edge  | 
Covered | 
T7,T79,T80 | 
| 1 | 
HighTimed  | 
Not Covered | 
 | 
| 1 | 
LowTimed  | 
Not Covered | 
 | 
| 1 | 
default | 
Covered | 
T6,T71,T78 | 
| 0 | 
- | 
Covered | 
T7,T79,T80 | 
82             if (!rst_ni) begin
               -1-  
83               filter_out_q <= 1'b0;
                 ==>
84               cnt_q        <= '0;
85             end else begin
86               filter_out_q <= filter_out_d;
                 ==>
Branches:
| -1- | Status | Tests | 
| 1 | 
Covered | 
T1,T2,T3 | 
| 0 | 
Covered | 
T1,T2,T3 |