Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : prim_alert_receiver
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_prim_alert_0/rtl/prim_alert_receiver.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.gen_alerts[0].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[1].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[2].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[3].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[4].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[5].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[6].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[7].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[8].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[9].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[10].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[11].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[12].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[13].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[14].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[15].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[16].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[17].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[18].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[19].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[20].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[21].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[22].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[23].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[24].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[25].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[26].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[27].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[28].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[29].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[30].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[31].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[32].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[33].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[34].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[35].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[36].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[37].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[38].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[39].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[40].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[41].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[42].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[43].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[44].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[45].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[46].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[47].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[48].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[49].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[50].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[51].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[52].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[53].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[54].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[55].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[56].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[57].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[58].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[59].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[60].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[61].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[62].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[63].u_alert_receiver 100.00 100.00
tb.dut.gen_alerts[64].u_alert_receiver 100.00 100.00



Module Instance : tb.dut.gen_alerts[0].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[1].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[2].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[3].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[4].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[5].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[6].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[7].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[8].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[9].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[10].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[11].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[12].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[13].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[14].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[15].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[16].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[17].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[18].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[19].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[20].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[21].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[22].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[23].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[24].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[25].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[26].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[27].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[28].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[29].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[30].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[31].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[32].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[33].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[34].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[35].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[36].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[37].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[38].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[39].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[40].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[41].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[42].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[43].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[44].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[45].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[46].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[47].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[48].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[49].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[50].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[51].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[52].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[53].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[54].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[55].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[56].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[57].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[58].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[59].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[60].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[61].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[62].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[63].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.gen_alerts[64].u_alert_receiver

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children

Toggle Coverage for Module : prim_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T1,T4,T8 Yes T1,T4,T8 INPUT
ping_ok_o Yes Yes T1,T5,T6 Yes T1,T5,T6 OUTPUT
integ_fail_o Yes Yes T1,T7,T21 Yes T1,T7,T21 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T1,T4,T8 Yes T7,T5,T6 OUTPUT
alert_rx_o.ping_p Yes Yes T7,T5,T6 Yes T1,T4,T8 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[0].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T1,T6,T120 Yes T1,T6,T120 INPUT
ping_ok_o Yes Yes T1,T6,T120 Yes T1,T6,T120 OUTPUT
integ_fail_o Yes Yes T1,T7,T13 Yes T1,T7,T13 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T1,T6,T120 Yes T6,T120,T49 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T49 Yes T1,T6,T120 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[1].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T8,T6,T13 Yes T8,T6,T13 INPUT
ping_ok_o Yes Yes T6,T13,T120 Yes T6,T13,T120 OUTPUT
integ_fail_o Yes Yes T1,T21,T48 Yes T1,T21,T48 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T8,T6,T13 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T8,T6,T13 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[2].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
ping_ok_o Yes Yes T5,T6,T120 Yes T5,T6,T120 OUTPUT
integ_fail_o Yes Yes T7,T21,T48 Yes T7,T21,T48 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T4,T5,T6 Yes T6,T120,T22 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T22 Yes T4,T5,T6 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[3].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T13,T14 Yes T6,T13,T14 INPUT
ping_ok_o Yes Yes T6,T13,T14 Yes T6,T13,T14 OUTPUT
integ_fail_o Yes Yes T13,T22,T30 Yes T13,T22,T30 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T13,T14 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T6,T13,T14 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[4].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T7,T5,T6 Yes T7,T5,T6 INPUT
ping_ok_o Yes Yes T7,T5,T6 Yes T7,T5,T6 OUTPUT
integ_fail_o Yes Yes T7,T21,T43 Yes T7,T21,T43 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T7,T5,T6 Yes T7,T5,T6 OUTPUT
alert_rx_o.ping_p Yes Yes T7,T5,T6 Yes T7,T5,T6 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[5].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T8,T5,T6 Yes T8,T5,T6 INPUT
ping_ok_o Yes Yes T5,T6,T120 Yes T5,T6,T120 OUTPUT
integ_fail_o Yes Yes T1,T13,T22 Yes T1,T13,T22 OUTPUT
alert_o Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ping_n Yes Yes T8,T5,T6 Yes T5,T6,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T5,T6,T120 Yes T8,T5,T6 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T7,T5 Yes T1,T7,T5 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[6].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T1,T6,T13 Yes T1,T6,T13 INPUT
ping_ok_o Yes Yes T1,T6,T13 Yes T1,T6,T13 OUTPUT
integ_fail_o Yes Yes T21,T43,T46 Yes T21,T43,T46 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T1,T6,T13 Yes T6,T13,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T13,T120 Yes T1,T6,T13 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[7].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
ping_ok_o Yes Yes T5,T6,T120 Yes T5,T6,T120 OUTPUT
integ_fail_o Yes Yes T15,T22,T23 Yes T15,T22,T23 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T4,T5,T6 Yes T5,T6,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T5,T6,T120 Yes T4,T5,T6 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[8].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T13,T48 Yes T6,T13,T48 INPUT
ping_ok_o Yes Yes T6,T13,T48 Yes T6,T13,T48 OUTPUT
integ_fail_o Yes Yes T1,T15,T49 Yes T1,T15,T49 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T13,T48 Yes T6,T48,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T48,T120 Yes T6,T13,T48 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[9].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T5,T6,T14 Yes T5,T6,T14 INPUT
ping_ok_o Yes Yes T5,T6,T14 Yes T5,T6,T14 OUTPUT
integ_fail_o Yes Yes T1,T7,T43 Yes T1,T7,T43 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T5,T6,T14 Yes T6,T14,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T14,T120 Yes T5,T6,T14 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[10].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T4,T6,T14 Yes T4,T6,T14 INPUT
ping_ok_o Yes Yes T6,T14,T120 Yes T6,T14,T120 OUTPUT
integ_fail_o Yes Yes T21,T43,T13 Yes T21,T43,T13 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T4,T6,T14 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T4,T6,T14 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[11].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T3,T4,T6 Yes T3,T4,T6 INPUT
ping_ok_o Yes Yes T6,T14,T120 Yes T6,T14,T120 OUTPUT
integ_fail_o Yes Yes T7,T43,T13 Yes T7,T43,T13 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T3,T4,T6 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T3,T4,T6 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[12].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T13,T120 Yes T6,T13,T120 INPUT
ping_ok_o Yes Yes T6,T13,T120 Yes T6,T13,T120 OUTPUT
integ_fail_o Yes Yes T49,T22,T27 Yes T49,T22,T27 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T13,T120 Yes T6,T13,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T13,T120 Yes T6,T13,T120 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[13].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T4,T6,T13 Yes T4,T6,T13 INPUT
ping_ok_o Yes Yes T6,T13,T14 Yes T6,T13,T14 OUTPUT
integ_fail_o Yes Yes T7,T43,T13 Yes T7,T43,T13 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T4,T6,T13 Yes T6,T14,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T14,T120 Yes T4,T6,T13 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[14].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T8,T6,T13 Yes T8,T6,T13 INPUT
ping_ok_o Yes Yes T6,T13,T120 Yes T6,T13,T120 OUTPUT
integ_fail_o Yes Yes T7,T21,T48 Yes T7,T21,T48 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T8,T6,T13 Yes T6,T120,T22 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T22 Yes T8,T6,T13 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[15].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T1,T6,T120 Yes T1,T6,T120 INPUT
ping_ok_o Yes Yes T1,T6,T120 Yes T1,T6,T120 OUTPUT
integ_fail_o Yes Yes T1,T21,T43 Yes T1,T21,T43 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T1,T6,T120 Yes T1,T6,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T1,T6,T120 Yes T1,T6,T120 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[16].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T4,T6,T13 Yes T4,T6,T13 INPUT
ping_ok_o Yes Yes T6,T13,T14 Yes T6,T13,T14 OUTPUT
integ_fail_o Yes Yes T1,T7,T21 Yes T1,T7,T21 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T4,T6,T13 Yes T6,T14,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T14,T120 Yes T4,T6,T13 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[17].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T120,T69 Yes T6,T120,T69 INPUT
ping_ok_o Yes Yes T6,T120,T69 Yes T6,T120,T69 OUTPUT
integ_fail_o Yes Yes T1,T7,T15 Yes T1,T7,T15 OUTPUT
alert_o Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T120,T69 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T6,T120,T69 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T7,T5 Yes T1,T7,T5 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[18].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T13,T120 Yes T6,T13,T120 INPUT
ping_ok_o Yes Yes T6,T13,T120 Yes T6,T13,T120 OUTPUT
integ_fail_o Yes Yes T13,T48,T22 Yes T13,T48,T22 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T13,T120 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T6,T13,T120 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[19].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T5,T6,T13 Yes T5,T6,T13 INPUT
ping_ok_o Yes Yes T5,T6,T13 Yes T5,T6,T13 OUTPUT
integ_fail_o Yes Yes T7,T15,T13 Yes T7,T15,T13 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T5,T6,T13 Yes T6,T13,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T13,T120 Yes T5,T6,T13 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[20].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T1,T6,T14 Yes T1,T6,T14 INPUT
ping_ok_o Yes Yes T1,T6,T14 Yes T1,T6,T14 OUTPUT
integ_fail_o Yes Yes T1,T7,T21 Yes T1,T7,T21 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T1,T6,T14 Yes T6,T14,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T14,T120 Yes T1,T6,T14 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[21].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T4,T6,T120 Yes T4,T6,T120 INPUT
ping_ok_o Yes Yes T6,T120,T22 Yes T6,T120,T22 OUTPUT
integ_fail_o Yes Yes T1,T7,T43 Yes T1,T7,T43 OUTPUT
alert_o Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ping_n Yes Yes T4,T6,T120 Yes T6,T120,T22 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T22 Yes T4,T6,T120 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T7,T5 Yes T1,T7,T5 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[22].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T13,T120 Yes T6,T13,T120 INPUT
ping_ok_o Yes Yes T6,T13,T120 Yes T6,T13,T120 OUTPUT
integ_fail_o Yes Yes T1,T7,T15 Yes T1,T7,T15 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T13,T120 Yes T6,T120,T22 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T22 Yes T6,T13,T120 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[23].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T13,T120 Yes T6,T13,T120 INPUT
ping_ok_o Yes Yes T6,T13,T120 Yes T6,T13,T120 OUTPUT
integ_fail_o Yes Yes T21,T43,T71 Yes T21,T43,T71 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T13,T120 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T6,T13,T120 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[24].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T1,T8,T6 Yes T1,T8,T6 INPUT
ping_ok_o Yes Yes T1,T6,T13 Yes T1,T6,T13 OUTPUT
integ_fail_o Yes Yes T1,T7,T15 Yes T1,T7,T15 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T1,T8,T6 Yes T6,T120,T22 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T22 Yes T1,T8,T6 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[25].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T13,T120 Yes T6,T13,T120 INPUT
ping_ok_o Yes Yes T6,T13,T120 Yes T6,T13,T120 OUTPUT
integ_fail_o Yes Yes T1,T15,T43 Yes T1,T15,T43 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T13,T120 Yes T6,T120,T22 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T22 Yes T6,T13,T120 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[26].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T1,T7,T6 Yes T1,T7,T6 INPUT
ping_ok_o Yes Yes T1,T7,T6 Yes T1,T7,T6 OUTPUT
integ_fail_o Yes Yes T1,T48,T75 Yes T1,T48,T75 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T1,T7,T6 Yes T1,T7,T6 OUTPUT
alert_rx_o.ping_p Yes Yes T1,T7,T6 Yes T1,T7,T6 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[27].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T1,T8,T6 Yes T1,T8,T6 INPUT
ping_ok_o Yes Yes T1,T6,T120 Yes T1,T6,T120 OUTPUT
integ_fail_o Yes Yes T7,T15,T43 Yes T7,T15,T43 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T1,T8,T6 Yes T6,T120,T22 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T22 Yes T1,T8,T6 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[28].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T13,T120 Yes T6,T13,T120 INPUT
ping_ok_o Yes Yes T6,T13,T120 Yes T6,T13,T120 OUTPUT
integ_fail_o Yes Yes T1,T7,T15 Yes T1,T7,T15 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T13,T120 Yes T6,T13,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T13,T120 Yes T6,T13,T120 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[29].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T8,T5,T6 Yes T8,T5,T6 INPUT
ping_ok_o Yes Yes T5,T6,T120 Yes T5,T6,T120 OUTPUT
integ_fail_o Yes Yes T1,T7,T15 Yes T1,T7,T15 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T8,T5,T6 Yes T8,T6,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T8,T6,T120 Yes T8,T5,T6 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[30].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T120,T69 Yes T6,T120,T69 INPUT
ping_ok_o Yes Yes T6,T120,T69 Yes T6,T120,T69 OUTPUT
integ_fail_o Yes Yes T1,T7,T15 Yes T1,T7,T15 OUTPUT
alert_o Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T120,T69 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T6,T120,T69 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T7,T5 Yes T1,T7,T5 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[31].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T5,T6,T14 Yes T5,T6,T14 INPUT
ping_ok_o Yes Yes T5,T6,T14 Yes T5,T6,T14 OUTPUT
integ_fail_o Yes Yes T7,T15,T43 Yes T7,T15,T43 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T5,T6,T14 Yes T6,T120,T22 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T22 Yes T5,T6,T14 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[32].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T1,T6,T13 Yes T1,T6,T13 INPUT
ping_ok_o Yes Yes T1,T6,T13 Yes T1,T6,T13 OUTPUT
integ_fail_o Yes Yes T1,T13,T22 Yes T1,T13,T22 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T1,T6,T13 Yes T6,T14,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T14,T120 Yes T1,T6,T13 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[33].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T7,T6,T120 Yes T7,T6,T120 INPUT
ping_ok_o Yes Yes T7,T6,T120 Yes T7,T6,T120 OUTPUT
integ_fail_o Yes Yes T21,T13,T48 Yes T21,T13,T48 OUTPUT
alert_o Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ping_n Yes Yes T7,T6,T120 Yes T7,T6,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T7,T6,T120 Yes T7,T6,T120 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T7,T5 Yes T1,T7,T5 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[34].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T12,T14 Yes T6,T12,T14 INPUT
ping_ok_o Yes Yes T6,T12,T14 Yes T6,T12,T14 OUTPUT
integ_fail_o Yes Yes T1,T7,T21 Yes T1,T7,T21 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T12,T14 Yes T6,T14,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T14,T120 Yes T6,T12,T14 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[35].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T1,T3,T6 Yes T1,T3,T6 INPUT
ping_ok_o Yes Yes T1,T6,T13 Yes T1,T6,T13 OUTPUT
integ_fail_o Yes Yes T1,T7,T15 Yes T1,T7,T15 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T1,T3,T6 Yes T1,T6,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T1,T6,T120 Yes T1,T3,T6 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[36].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T5,T6,T13 Yes T5,T6,T13 INPUT
ping_ok_o Yes Yes T5,T6,T13 Yes T5,T6,T13 OUTPUT
integ_fail_o Yes Yes T7,T43,T22 Yes T7,T43,T22 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T5,T6,T13 Yes T6,T120,T22 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T22 Yes T5,T6,T13 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[37].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T5,T6,T13 Yes T5,T6,T13 INPUT
ping_ok_o Yes Yes T5,T6,T13 Yes T5,T6,T13 OUTPUT
integ_fail_o Yes Yes T43,T13,T48 Yes T43,T13,T48 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T5,T6,T13 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T5,T6,T13 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[38].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T3,T4,T6 Yes T3,T4,T6 INPUT
ping_ok_o Yes Yes T6,T14,T48 Yes T6,T14,T48 OUTPUT
integ_fail_o Yes Yes T7,T21,T43 Yes T7,T21,T43 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T3,T4,T6 Yes T3,T6,T48 OUTPUT
alert_rx_o.ping_p Yes Yes T3,T6,T48 Yes T3,T4,T6 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[39].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T4,T6,T120 Yes T4,T6,T120 INPUT
ping_ok_o Yes Yes T6,T120,T22 Yes T6,T120,T22 OUTPUT
integ_fail_o Yes Yes T1,T15,T21 Yes T1,T15,T21 OUTPUT
alert_o Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ping_n Yes Yes T4,T6,T120 Yes T6,T120,T22 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T22 Yes T4,T6,T120 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T7,T5 Yes T1,T7,T5 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[40].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T13,T48 Yes T6,T13,T48 INPUT
ping_ok_o Yes Yes T6,T13,T48 Yes T6,T13,T48 OUTPUT
integ_fail_o Yes Yes T1,T15,T21 Yes T1,T15,T21 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T13,T48 Yes T6,T13,T48 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T13,T48 Yes T6,T13,T48 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[41].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T7,T6,T120 Yes T7,T6,T120 INPUT
ping_ok_o Yes Yes T7,T6,T120 Yes T7,T6,T120 OUTPUT
integ_fail_o Yes Yes T7,T43,T46 Yes T7,T43,T46 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T7,T6,T120 Yes T7,T6,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T7,T6,T120 Yes T7,T6,T120 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[42].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T1,T6,T14 Yes T1,T6,T14 INPUT
ping_ok_o Yes Yes T1,T6,T14 Yes T1,T6,T14 OUTPUT
integ_fail_o Yes Yes T1,T15,T48 Yes T1,T15,T48 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T1,T6,T14 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T1,T6,T14 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[43].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T5,T6,T14 Yes T5,T6,T14 INPUT
ping_ok_o Yes Yes T5,T6,T14 Yes T5,T6,T14 OUTPUT
integ_fail_o Yes Yes T15,T38,T29 Yes T15,T38,T29 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T5,T6,T14 Yes T6,T14,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T14,T120 Yes T5,T6,T14 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[44].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T1,T6,T18 Yes T1,T6,T18 INPUT
ping_ok_o Yes Yes T1,T6,T18 Yes T1,T6,T18 OUTPUT
integ_fail_o Yes Yes T7,T15,T21 Yes T7,T15,T21 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T1,T6,T18 Yes T6,T48,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T48,T120 Yes T1,T6,T18 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[45].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T8,T6,T13 Yes T8,T6,T13 INPUT
ping_ok_o Yes Yes T6,T13,T14 Yes T6,T13,T14 OUTPUT
integ_fail_o Yes Yes T1,T21,T48 Yes T1,T21,T48 OUTPUT
alert_o Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ping_n Yes Yes T8,T6,T13 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T8,T6,T13 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T7,T5 Yes T1,T7,T5 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[46].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T5,T6,T13 Yes T5,T6,T13 INPUT
ping_ok_o Yes Yes T5,T6,T13 Yes T5,T6,T13 OUTPUT
integ_fail_o Yes Yes T15,T21,T13 Yes T15,T21,T13 OUTPUT
alert_o Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ping_n Yes Yes T5,T6,T13 Yes T6,T120,T22 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T22 Yes T5,T6,T13 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T7,T5 Yes T1,T7,T5 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[47].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T1,T4,T8 Yes T1,T4,T8 INPUT
ping_ok_o Yes Yes T1,T6,T13 Yes T1,T6,T13 OUTPUT
integ_fail_o Yes Yes T7,T43,T13 Yes T7,T43,T13 OUTPUT
alert_o Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ping_n Yes Yes T1,T4,T8 Yes T4,T8,T6 OUTPUT
alert_rx_o.ping_p Yes Yes T4,T8,T6 Yes T1,T4,T8 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T7,T5 Yes T1,T7,T5 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[48].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T3,T4,T6 Yes T3,T4,T6 INPUT
ping_ok_o Yes Yes T6,T120,T69 Yes T6,T120,T69 OUTPUT
integ_fail_o Yes Yes T1,T7,T21 Yes T1,T7,T21 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T3,T4,T6 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T3,T4,T6 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[49].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T18,T120 Yes T6,T18,T120 INPUT
ping_ok_o Yes Yes T6,T18,T120 Yes T6,T18,T120 OUTPUT
integ_fail_o Yes Yes T7,T43,T13 Yes T7,T43,T13 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T120,T69 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T6,T120,T69 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[50].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T8,T5,T6 Yes T8,T5,T6 INPUT
ping_ok_o Yes Yes T5,T6,T120 Yes T5,T6,T120 OUTPUT
integ_fail_o Yes Yes T1,T43,T46 Yes T1,T43,T46 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T8,T5,T6 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T8,T5,T6 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[51].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T1,T4,T6 Yes T1,T4,T6 INPUT
ping_ok_o Yes Yes T1,T6,T13 Yes T1,T6,T13 OUTPUT
integ_fail_o Yes Yes T43,T48,T71 Yes T43,T48,T71 OUTPUT
alert_o Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ping_n Yes Yes T1,T4,T6 Yes T6,T13,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T13,T120 Yes T1,T4,T6 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T7,T5 Yes T1,T7,T5 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[52].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T120,T69 Yes T6,T120,T69 INPUT
ping_ok_o Yes Yes T6,T120,T69 Yes T6,T120,T69 OUTPUT
integ_fail_o Yes Yes T1,T21,T49 Yes T1,T21,T49 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T120,T69 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T6,T120,T69 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[53].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T120,T67 Yes T6,T120,T67 INPUT
ping_ok_o Yes Yes T6,T120,T67 Yes T6,T120,T67 OUTPUT
integ_fail_o Yes Yes T7,T21,T48 Yes T7,T21,T48 OUTPUT
alert_o Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T120,T69 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T6,T120,T69 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T7,T5 Yes T1,T7,T5 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[54].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T14,T18 Yes T6,T14,T18 INPUT
ping_ok_o Yes Yes T6,T14,T18 Yes T6,T14,T18 OUTPUT
integ_fail_o Yes Yes T1,T15,T46 Yes T1,T15,T46 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T14,T120 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T6,T14,T120 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[55].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T120,T69 Yes T6,T120,T69 INPUT
ping_ok_o Yes Yes T6,T120,T69 Yes T6,T120,T69 OUTPUT
integ_fail_o Yes Yes T1,T7,T15 Yes T1,T7,T15 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T120,T69 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T6,T120,T69 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[56].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T18,T120 Yes T6,T18,T120 INPUT
ping_ok_o Yes Yes T6,T18,T120 Yes T6,T18,T120 OUTPUT
integ_fail_o Yes Yes T15,T43,T13 Yes T15,T43,T13 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T120,T22 Yes T6,T120,T22 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T22 Yes T6,T120,T22 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[57].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T3,T4,T6 Yes T3,T4,T6 INPUT
ping_ok_o Yes Yes T6,T120,T69 Yes T6,T120,T69 OUTPUT
integ_fail_o Yes Yes T1,T7,T15 Yes T1,T7,T15 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T3,T4,T6 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T3,T4,T6 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[58].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T5,T6,T14 Yes T5,T6,T14 INPUT
ping_ok_o Yes Yes T5,T6,T14 Yes T5,T6,T14 OUTPUT
integ_fail_o Yes Yes T1,T7,T43 Yes T1,T7,T43 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T5,T6,T14 Yes T6,T14,T48 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T14,T48 Yes T5,T6,T14 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[59].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T8,T6,T120 Yes T8,T6,T120 INPUT
ping_ok_o Yes Yes T6,T120,T22 Yes T6,T120,T22 OUTPUT
integ_fail_o Yes Yes T7,T15,T13 Yes T7,T15,T13 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T8,T6,T120 Yes T6,T120,T22 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T22 Yes T8,T6,T120 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[60].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T8,T6,T13 Yes T8,T6,T13 INPUT
ping_ok_o Yes Yes T6,T13,T120 Yes T6,T13,T120 OUTPUT
integ_fail_o Yes Yes T1,T15,T21 Yes T1,T15,T21 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T8,T6,T13 Yes T6,T120,T22 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T22 Yes T8,T6,T13 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[61].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T1,T6,T120 Yes T1,T6,T120 INPUT
ping_ok_o Yes Yes T1,T6,T120 Yes T1,T6,T120 OUTPUT
integ_fail_o Yes Yes T7,T15,T21 Yes T7,T15,T21 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T1,T6,T120 Yes T6,T120,T22 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T22 Yes T1,T6,T120 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[62].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T13,T18 Yes T6,T13,T18 INPUT
ping_ok_o Yes Yes T6,T13,T18 Yes T6,T13,T18 OUTPUT
integ_fail_o Yes Yes T21,T43,T13 Yes T21,T43,T13 OUTPUT
alert_o Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T7,T5 Yes T1,T7,T5 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T13,T120 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T6,T13,T120 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T7,T5 Yes T1,T7,T5 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[63].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T48,T120 Yes T6,T48,T120 INPUT
ping_ok_o Yes Yes T6,T48,T120 Yes T6,T48,T120 OUTPUT
integ_fail_o Yes Yes T1,T21,T43 Yes T1,T21,T43 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T48,T120 Yes T6,T48,T120 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T48,T120 Yes T6,T48,T120 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

Toggle Coverage for Instance : tb.dut.gen_alerts[64].u_alert_receiver
TotalCoveredPercent
Totals 13 13 100.00
Total Bits 32 32 100.00
Total Bits 0->1 16 16 100.00
Total Bits 1->0 16 16 100.00

Ports 13 13 100.00
Port Bits 32 32 100.00
Port Bits 0->1 16 16 100.00
Port Bits 1->0 16 16 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T7,T21,T6 Yes T1,T2,T3 INPUT
init_trig_i[3:0] Yes Yes T7,T21,T43 Yes T2,T4,T8 INPUT
ping_req_i Yes Yes T6,T13,T120 Yes T6,T13,T120 INPUT
ping_ok_o Yes Yes T6,T13,T120 Yes T6,T13,T120 OUTPUT
integ_fail_o Yes Yes T1,T7,T15 Yes T1,T7,T15 OUTPUT
alert_o Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_o.ack_p Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
alert_rx_o.ping_n Yes Yes T6,T13,T120 Yes T6,T120,T69 OUTPUT
alert_rx_o.ping_p Yes Yes T6,T120,T69 Yes T6,T13,T120 OUTPUT
alert_tx_i.alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_tx_i.alert_p Yes Yes T1,T2,T7 Yes T1,T2,T7 INPUT

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%