Line Coverage for Module :
prim_mubi4_sync ( parameter NumCopies=1,AsyncOn=1,StabilityCheck=0,ResetValue=6 )
Line Coverage for Module self-instances :
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Line Coverage for Module :
prim_mubi4_sync ( parameter NumCopies=1,AsyncOn=0,StabilityCheck=0,ResetValue=9 )
Line Coverage for Module self-instances :
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Module :
prim_mubi4_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
70286 |
70286 |
0 |
0 |
T1 |
113 |
113 |
0 |
0 |
T2 |
113 |
113 |
0 |
0 |
T3 |
113 |
113 |
0 |
0 |
T4 |
113 |
113 |
0 |
0 |
T10 |
113 |
113 |
0 |
0 |
T11 |
113 |
113 |
0 |
0 |
T12 |
113 |
113 |
0 |
0 |
T15 |
113 |
113 |
0 |
0 |
T17 |
113 |
113 |
0 |
0 |
T30 |
113 |
113 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
2147483647 |
2147483647 |
0 |
0 |
T1 |
534490 |
523642 |
0 |
0 |
T2 |
1005926 |
996660 |
0 |
0 |
T3 |
2287685 |
2276611 |
0 |
0 |
T4 |
1801107 |
326570 |
0 |
0 |
T10 |
1875461 |
1869585 |
0 |
0 |
T11 |
1177121 |
1170680 |
0 |
0 |
T12 |
452113 |
441717 |
0 |
0 |
T15 |
451548 |
443638 |
0 |
0 |
T17 |
896994 |
887954 |
0 |
0 |
T30 |
2861499 |
2852120 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
2147483647 |
2147483647 |
0 |
89568 |
T1 |
227040 |
222288 |
0 |
144 |
T2 |
427296 |
423216 |
0 |
144 |
T3 |
971760 |
966912 |
0 |
144 |
T4 |
765072 |
114096 |
0 |
144 |
T10 |
796656 |
794016 |
0 |
144 |
T11 |
500016 |
497136 |
0 |
144 |
T12 |
192048 |
187488 |
0 |
144 |
T15 |
191808 |
188304 |
0 |
144 |
T17 |
381024 |
377040 |
0 |
144 |
T30 |
1215504 |
1211376 |
0 |
144 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
2147483647 |
2147483647 |
0 |
0 |
T1 |
307450 |
301210 |
0 |
0 |
T2 |
578630 |
573300 |
0 |
0 |
T3 |
1315925 |
1309555 |
0 |
0 |
T4 |
1036035 |
187850 |
0 |
0 |
T10 |
1078805 |
1075425 |
0 |
0 |
T11 |
677105 |
673400 |
0 |
0 |
T12 |
260065 |
254085 |
0 |
0 |
T15 |
259740 |
255190 |
0 |
0 |
T17 |
515970 |
510770 |
0 |
0 |
T30 |
1645995 |
1640600 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[0].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[0].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[0].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[0].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[1].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[1].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[1].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[1].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[2].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[2].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[2].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[2].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[3].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[3].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[3].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[3].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[4].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[4].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[4].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[4].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[5].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[5].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[5].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[5].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[6].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[6].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[6].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[6].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[7].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[7].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[7].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[7].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[8].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[8].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[8].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[8].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[9].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[9].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[9].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[9].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[10].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[10].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[10].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[10].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[11].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[11].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[11].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[11].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[12].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[12].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[12].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[12].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[13].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[13].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[13].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[13].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[14].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[14].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[14].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[14].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[15].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[15].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[15].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[15].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[16].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[16].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[16].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[16].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[17].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[17].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[17].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[17].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[18].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[18].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[18].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[18].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[19].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[19].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[19].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[19].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[20].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[20].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[20].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[20].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[21].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[21].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[21].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[21].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[22].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[22].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[22].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[22].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[23].u_prim_mubi4_sync_cg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[23].u_prim_mubi4_sync_cg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[23].u_prim_mubi4_sync_rst_en
| Line No. | Total | Covered | Percent |
TOTAL | | 3 | 3 | 100.00 |
CONT_ASSIGN | 124 | 1 | 1 | 100.00 |
ALWAYS | 128 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
123 end else begin : gen_no_stable_chks
124 1/1 assign mubi = mubi_sync;
Tests: T1 T2 T3
125 `ifdef INC_ASSERT
126 mubi4_t mubi_in_sva_q;
127 always_ff @(posedge clk_i) begin
128 1/1 mubi_in_sva_q <= mubi_i;
Tests: T1 T2 T3
129 end
130 `ASSERT(OutputDelay_A,
131 rst_ni |-> ##3 (mubi_o == {NumCopies{$past(mubi_in_sva_q, 2)}} ||
132 $past(mubi_in_sva_q, 2) != $past(mubi_in_sva_q, 1)))
133 `endif
134 end
135 end else begin : gen_no_flops
136
137 //VCS coverage off
138 // pragma coverage off
139
140 // This unused companion logic helps remove lint errors
141 // for modules where clock and reset are used for assertions only
142 // This logic will be removed for synthesis since it is unloaded.
143 mubi4_t unused_logic;
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 if (!rst_ni) begin
146 unused_logic <= MuBi4False;
147 end else begin
148 unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 assign mubi = MuBi4Width'(mubi_i);
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_lpgs[23].u_prim_mubi4_sync_rst_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_flops.gen_no_stable_chks.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557018414 |
0 |
1866 |
T1 |
4730 |
4631 |
0 |
3 |
T2 |
8902 |
8817 |
0 |
3 |
T3 |
20245 |
20144 |
0 |
3 |
T4 |
15939 |
2377 |
0 |
3 |
T10 |
16597 |
16542 |
0 |
3 |
T11 |
10417 |
10357 |
0 |
3 |
T12 |
4001 |
3906 |
0 |
3 |
T15 |
3996 |
3923 |
0 |
3 |
T17 |
7938 |
7855 |
0 |
3 |
T30 |
25323 |
25237 |
0 |
3 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[0].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[0].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[1].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[1].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[2].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[2].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[3].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[3].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[4].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[4].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[5].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[5].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[6].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[6].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[7].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[7].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[8].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[8].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[9].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[9].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[10].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[10].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[11].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[11].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[12].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[12].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[13].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[13].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[14].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[14].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[15].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[15].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[16].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[16].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[17].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[17].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[18].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[18].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[19].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[19].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[20].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[20].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[21].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[21].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[22].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[22].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[23].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[23].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[24].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[24].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[25].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[25].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[26].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[26].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[27].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[27].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[28].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[28].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[29].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[29].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[30].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[30].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[31].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[31].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[32].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[32].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[33].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[33].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[34].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[34].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[35].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[35].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[36].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[36].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[37].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[37].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[38].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[38].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[39].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[39].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[40].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[40].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[41].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[41].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[42].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[42].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[43].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[43].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[44].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[44].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[45].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[45].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[46].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[46].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[47].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[47].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[48].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[48].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[49].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[49].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[50].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[50].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[51].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[51].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[52].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[52].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[53].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[53].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[54].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[54].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[55].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[55].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[56].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[56].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[57].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[57].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[58].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[58].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[59].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[59].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[60].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[60].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[61].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[61].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[62].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[62].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[63].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[63].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[64].u_prim_mubi4_sync_lpg_en
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T1 T2 T3
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T1 T2 T3
Assert Coverage for Instance : tb.dut.u_alert_handler_lpg_ctrl.gen_alert_map[64].u_prim_mubi4_sync_lpg_en
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
622 |
622 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
T11 |
1 |
1 |
0 |
0 |
T12 |
1 |
1 |
0 |
0 |
T15 |
1 |
1 |
0 |
0 |
T17 |
1 |
1 |
0 |
0 |
T30 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
557183493 |
557025290 |
0 |
0 |
T1 |
4730 |
4634 |
0 |
0 |
T2 |
8902 |
8820 |
0 |
0 |
T3 |
20245 |
20147 |
0 |
0 |
T4 |
15939 |
2890 |
0 |
0 |
T10 |
16597 |
16545 |
0 |
0 |
T11 |
10417 |
10360 |
0 |
0 |
T12 |
4001 |
3909 |
0 |
0 |
T15 |
3996 |
3926 |
0 |
0 |
T17 |
7938 |
7858 |
0 |
0 |
T30 |
25323 |
25240 |
0 |
0 |