Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : prim_subreg_shadow
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.04 100.00 96.15 100.00 100.00

Source File(s) :
/workspaces/repo/scratch/os_regression_2024_10_11/alert_handler-sim-vcs/default/sim-vcs/../src/lowrisc_prim_subreg_0/rtl/prim_subreg_shadow.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_reg_wrap.u_reg.u_classc_ctrl_shadowed_en_e0 98.00 100.00 92.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_ping_timeout_cyc_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_ping_timer_en_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_0 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_1 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_2 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_3 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_4 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_5 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_6 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_7 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_8 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_9 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_10 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_11 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_12 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_13 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_14 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_15 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_16 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_17 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_18 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_19 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_20 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_21 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_22 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_23 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_24 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_25 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_26 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_27 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_28 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_29 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_30 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_31 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_32 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_33 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_34 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_35 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_36 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_37 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_38 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_39 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_40 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_41 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_42 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_43 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_44 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_45 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_46 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_47 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_48 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_49 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_50 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_51 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_52 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_53 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_54 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_55 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_56 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_57 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_58 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_59 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_60 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_61 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_62 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_63 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_en_shadowed_64 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_0 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_1 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_2 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_3 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_4 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_5 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_6 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_7 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_8 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_9 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_10 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_11 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_12 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_13 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_14 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_15 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_16 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_17 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_18 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_19 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_20 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_21 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_22 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_23 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_24 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_25 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_26 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_27 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_28 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_29 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_30 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_31 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_32 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_33 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_34 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_35 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_36 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_37 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_38 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_39 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_40 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_41 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_42 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_43 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_44 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_45 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_46 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_47 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_48 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_49 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_50 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_51 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_52 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_53 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_54 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_55 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_56 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_57 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_58 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_59 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_60 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_61 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_62 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_63 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_alert_class_shadowed_64 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_loc_alert_en_shadowed_0 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_loc_alert_en_shadowed_1 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_loc_alert_en_shadowed_2 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_loc_alert_en_shadowed_3 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_loc_alert_en_shadowed_4 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_loc_alert_en_shadowed_5 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_loc_alert_en_shadowed_6 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_loc_alert_class_shadowed_0 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_loc_alert_class_shadowed_1 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_loc_alert_class_shadowed_2 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_loc_alert_class_shadowed_3 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_loc_alert_class_shadowed_4 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_loc_alert_class_shadowed_5 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_loc_alert_class_shadowed_6 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classa_ctrl_shadowed_en 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classa_ctrl_shadowed_lock 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classa_ctrl_shadowed_en_e0 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classa_ctrl_shadowed_en_e1 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classa_ctrl_shadowed_en_e2 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classa_ctrl_shadowed_en_e3 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classa_ctrl_shadowed_map_e0 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classa_ctrl_shadowed_map_e1 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classa_ctrl_shadowed_map_e2 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classa_ctrl_shadowed_map_e3 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classa_clr_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classa_accum_thresh_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classa_timeout_cyc_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classa_crashdump_trigger_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classa_phase0_cyc_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classa_phase1_cyc_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classa_phase2_cyc_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classa_phase3_cyc_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classb_ctrl_shadowed_en 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classb_ctrl_shadowed_lock 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classb_ctrl_shadowed_en_e0 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classb_ctrl_shadowed_en_e1 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classb_ctrl_shadowed_en_e2 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classb_ctrl_shadowed_en_e3 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classb_ctrl_shadowed_map_e0 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classb_ctrl_shadowed_map_e1 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classb_ctrl_shadowed_map_e2 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classb_ctrl_shadowed_map_e3 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classb_clr_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classb_accum_thresh_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classb_timeout_cyc_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classb_crashdump_trigger_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classb_phase0_cyc_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classb_phase1_cyc_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classb_phase2_cyc_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classb_phase3_cyc_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classc_ctrl_shadowed_en 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classc_ctrl_shadowed_lock 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classc_ctrl_shadowed_en_e1 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classc_ctrl_shadowed_en_e2 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classc_ctrl_shadowed_en_e3 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classc_ctrl_shadowed_map_e0 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classc_ctrl_shadowed_map_e1 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classc_ctrl_shadowed_map_e2 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classc_ctrl_shadowed_map_e3 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classc_clr_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classc_accum_thresh_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classc_timeout_cyc_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classc_crashdump_trigger_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classc_phase0_cyc_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classc_phase1_cyc_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classc_phase2_cyc_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classc_phase3_cyc_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classd_ctrl_shadowed_en 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classd_ctrl_shadowed_lock 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classd_ctrl_shadowed_en_e0 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classd_ctrl_shadowed_en_e1 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classd_ctrl_shadowed_en_e2 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classd_ctrl_shadowed_en_e3 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classd_ctrl_shadowed_map_e0 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classd_ctrl_shadowed_map_e1 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classd_ctrl_shadowed_map_e2 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classd_ctrl_shadowed_map_e3 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classd_clr_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classd_accum_thresh_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classd_timeout_cyc_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classd_crashdump_trigger_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classd_phase0_cyc_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classd_phase1_cyc_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classd_phase2_cyc_shadowed 100.00 100.00 100.00 100.00 100.00
tb.dut.u_reg_wrap.u_reg.u_classd_phase3_cyc_shadowed 100.00 100.00 100.00 100.00 100.00

Line Coverage for Module : prim_subreg_shadow
Line No.TotalCoveredPercent
TOTAL1616100.00
CONT_ASSIGN9411100.00
ALWAYS10066100.00
CONT_ASSIGN11311100.00
CONT_ASSIGN11400
CONT_ASSIGN13811100.00
CONT_ASSIGN13900
CONT_ASSIGN16011100.00
CONT_ASSIGN16100
CONT_ASSIGN18011100.00
CONT_ASSIGN18311100.00
CONT_ASSIGN18411100.00
CONT_ASSIGN18711100.00
CONT_ASSIGN18811100.00
CONT_ASSIGN18911100.00

93 // - In case of RO, SW should not interfere with update process. 94 1/1 assign phase_clear = (SwAccess == SwAccessRO) ? 1'b0 : re; Tests: T39 T41 T119  95 96 // Phase tracker: 97 // - Reads from SW clear the phase back to 0. 98 // - Writes have priority (can come from SW or HW). 99 always_ff @(posedge clk_i or negedge rst_ni) begin : phase_reg 100 1/1 if (!rst_ni) begin Tests: T1 T2 T3  101 1/1 phase_q <= 1'b0; Tests: T1 T2 T3  102 1/1 end else if (wr_en && !err_storage) begin Tests: T1 T2 T3  103 1/1 phase_q <= ~phase_q; Tests: T1 T2 T3  104 1/1 end else if (phase_clear || err_storage) begin Tests: T1 T2 T3  105 1/1 phase_q <= 1'b0; Tests: T39 T41 T119  106 end MISSING_ELSE 107 end 108 109 // The staged register: 110 // - Holds the 1's complement value. 111 // - Written in Phase 0. 112 // - Once storage error occurs, do not allow any further update until reset 113 1/1 assign staged_we = we & ~phase_q & ~err_storage; Tests: T1 T2 T3  114 unreachable assign staged_de = de & ~phase_q & ~err_storage; 115 prim_subreg #( 116 .DW ( DW ), 117 .SwAccess ( StagedSwAccess ), 118 .RESVAL ( ~RESVAL ) 119 ) staged_reg ( 120 .clk_i ( clk_i ), 121 .rst_ni ( rst_ni ), 122 .we ( staged_we ), 123 .wd ( ~wr_data ), 124 .de ( staged_de ), 125 .d ( ~d ), 126 .qe ( ), 127 .q ( staged_q ), 128 .ds ( ), 129 .qs ( ) 130 ); 131 132 // The shadow register: 133 // - Holds the 1's complement value. 134 // - Written in Phase 1. 135 // - Writes are ignored in case of update errors. 136 // - Gets the value from the staged register. 137 // - Once storage error occurs, do not allow any further update until reset 138 1/1 assign shadow_we = we & phase_q & ~err_update & ~err_storage; Tests: T1 T2 T3  139 unreachable assign shadow_de = de & phase_q & ~err_update & ~err_storage; 140 prim_subreg #( 141 .DW ( DW ), 142 .SwAccess ( InvertedSwAccess ), 143 .RESVAL ( ~RESVAL ) 144 ) shadow_reg ( 145 .clk_i ( clk_i ), 146 .rst_ni ( rst_shadowed_ni ), 147 .we ( shadow_we ), 148 .wd ( staged_q ), 149 .de ( shadow_de ), 150 .d ( staged_q ), 151 .qe ( ), 152 .q ( shadow_q ), 153 .ds ( ), 154 .qs ( ) 155 ); 156 157 // The committed register: 158 // - Written in Phase 1. 159 // - Writes are ignored in case of update errors. 160 1/1 assign committed_we = shadow_we; Tests: T1 T2 T3  161 unreachable assign committed_de = shadow_de; 162 prim_subreg #( 163 .DW ( DW ), 164 .SwAccess ( SwAccess ), 165 .RESVAL ( RESVAL ) 166 ) committed_reg ( 167 .clk_i ( clk_i ), 168 .rst_ni ( rst_ni ), 169 .we ( committed_we ), 170 .wd ( wr_data ), 171 .de ( committed_de ), 172 .d ( d ), 173 .qe ( committed_qe ), 174 .q ( committed_q ), 175 .ds ( ds ), 176 .qs ( committed_qs ) 177 ); 178 179 // Output phase for hwext. 180 1/1 assign phase = phase_q; Tests: T1 T2 T3  181 182 // Error detection - all bits must match. 183 1/1 assign err_update = (~staged_q != wr_data) ? phase_q & wr_en : 1'b0; Tests: T1 T2 T3  184 1/1 assign err_storage = (~shadow_q != committed_q); Tests: T1 T2 T3  185 186 // Remaining output assignments 187 1/1 assign qe = committed_qe; Tests: T1 T2 T3  188 1/1 assign q = committed_q; Tests: T1 T2 T3  189 1/1 assign qs = committed_qs; Tests: T1 T2 T3 

Cond Coverage for Module : prim_subreg_shadow
TotalCoveredPercent
Conditions262596.15
Logical262596.15
Non-Logical00
Event00

 LINE       102
 EXPRESSION (wr_en && ((!err_storage)))
             --1--    --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT150,T154,T157
11CoveredT1,T2,T3

 LINE       104
 EXPRESSION (phase_clear || err_storage)
             -----1-----    -----2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT150,T157,T164
10CoveredT39,T41,T119

 LINE       113
 EXPRESSION (we & ((~phase_q)) & ((~err_storage)))
             -1   ------2-----   --------3-------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT150,T154,T157
111CoveredT1,T2,T3

 LINE       114
 EXPRESSION (de & ((~phase_q)) & ((~err_storage)))
             -1   ------2-----   --------3-------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101Unreachable
110Unreachable
111Unreachable

 LINE       138
 EXPRESSION (we & phase_q & ((~err_update)) & ((~err_storage)))
             -1   ---2---   -------3-------   --------4-------
-1--2--3--4-StatusTests
0111CoveredT1,T2,T3
1011CoveredT1,T2,T3
1101CoveredT150,T157,T164
1110Not Covered
1111CoveredT1,T2,T3

 LINE       139
 EXPRESSION (de & phase_q & ((~err_update)) & ((~err_storage)))
             -1   ---2---   -------3-------   --------4-------
-1--2--3--4-StatusTests
0111CoveredT1,T2,T3
1011Unreachable
1101Unreachable
1110Unreachable
1111Unreachable

 LINE       183
 EXPRESSION (((~staged_q) != wr_data) ? (phase_q & wr_en) : 1'b0)
             ------------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       183
 SUB-EXPRESSION ((~staged_q) != wr_data)
                ------------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       183
 SUB-EXPRESSION (phase_q & wr_en)
                 ---1---   --2--
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT150,T157,T164

 LINE       184
 EXPRESSION ((~shadow_q) != committed_q)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT150,T157,T164

Branch Coverage for Module : prim_subreg_shadow
Line No.TotalCoveredPercent
Branches 6 6 100.00
TERNARY 183 2 2 100.00
IF 100 4 4 100.00


183 assign err_update = (~staged_q != wr_data) ? phase_q & wr_en : 1'b0; -1- ==> ==>

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


100 if (!rst_ni) begin -1- 101 phase_q <= 1'b0; ==> 102 end else if (wr_en && !err_storage) begin -2- 103 phase_q <= ~phase_q; ==> 104 end else if (phase_clear || err_storage) begin -3- 105 phase_q <= 1'b0; ==> 106 end MISSING_ELSE ==>

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T39,T41,T119
0 0 0 Covered T1,T2,T3


Assert Coverage for Module : prim_subreg_shadow
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
CheckSwAccessIsLegal_A 180504 180504 0 0
MubiIsNotYetSupported_A 2147483647 2147483647 0 0


CheckSwAccessIsLegal_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 180504 180504 0 0
T1 218 218 0 0
T2 218 218 0 0
T3 218 218 0 0
T4 218 218 0 0
T5 218 218 0 0
T10 218 218 0 0
T11 218 218 0 0
T13 218 218 0 0
T15 218 218 0 0
T20 218 218 0 0

MubiIsNotYetSupported_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 399376 378884 0 0
T2 1011084 994516 0 0
T3 378666 361662 0 0
T4 3207870 3169938 0 0
T5 11910212 9085586 0 0
T10 3687688 3670030 0 0
T11 797880 785018 0 0
T13 3507402 3489744 0 0
T15 5547010 5528044 0 0
T20 3422600 3409302 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%