Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.54 100.00 90.15 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.33 97.64 93.07 100.00 99.37 96.55


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.74 100.00 85.85 100.00 97.83 100.00 gen_flash_cores[1].u_core


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_bufs[0].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[1].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[2].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[3].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_rd.gen_bus_words_intg[0].u_bus_intg 100.00 100.00
gen_rd.gen_bus_words_intg[0].u_prim_buf_intg 100.00 100.00
gen_rd.gen_bus_words_intg[1].u_bus_intg 100.00 100.00
gen_rd.gen_bus_words_intg[1].u_prim_buf_intg 100.00 100.00
u_addr_xor_storage 96.53 100.00 86.11 100.00 100.00
u_bus_inv_data_intg 0.00 0.00
u_dec 100.00 100.00 100.00
u_intg_buf 100.00 100.00
u_mask_storage 93.75 100.00 80.56 94.44 100.00
u_plain_enc 100.00 100.00
u_prim_buf_data_xor_out 100.00 100.00
u_rd_buf_dep 96.59 100.00 86.36 100.00 100.00
u_rd_storage 97.44 100.00 87.18 100.00 100.00 100.00
u_rsp_order_fifo 97.44 100.00 87.18 100.00 100.00 100.00
u_valid_random 94.17 92.31 97.69 100.00 86.67



Module Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.76 100.00 91.03 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.39 97.64 93.39 100.00 99.37 96.55


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
97.87 100.00 91.51 100.00 97.83 100.00 gen_flash_cores[0].u_core


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_bufs[0].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[1].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[2].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[3].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_rd.gen_bus_words_intg[0].u_bus_intg 100.00 100.00
gen_rd.gen_bus_words_intg[0].u_prim_buf_intg 100.00 100.00
gen_rd.gen_bus_words_intg[1].u_bus_intg 100.00 100.00
gen_rd.gen_bus_words_intg[1].u_prim_buf_intg 100.00 100.00
u_addr_xor_storage 96.53 100.00 86.11 100.00 100.00
u_bus_inv_data_intg 0.00 0.00
u_dec 100.00 100.00 100.00
u_intg_buf 100.00 100.00
u_mask_storage 93.75 100.00 80.56 94.44 100.00
u_plain_enc 100.00 100.00
u_prim_buf_data_xor_out 100.00 100.00
u_rd_buf_dep 96.59 100.00 86.36 100.00 100.00
u_rd_storage 97.44 100.00 87.18 100.00 100.00 100.00
u_rsp_order_fifo 97.44 100.00 87.18 100.00 100.00 100.00
u_valid_random 94.17 92.31 97.69 100.00 86.67

Line Coverage for Module : flash_phy_rd
Line No.TotalCoveredPercent
TOTAL133133100.00
CONT_ASSIGN13711100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN15211100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN18611100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22911100.00
CONT_ASSIGN23211100.00
ALWAYS25744100.00
CONT_ASSIGN29111100.00
CONT_ASSIGN29211100.00
CONT_ASSIGN30211100.00
CONT_ASSIGN30511100.00
CONT_ASSIGN30811100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN33111100.00
ALWAYS3601212100.00
CONT_ASSIGN37711100.00
CONT_ASSIGN38211100.00
CONT_ASSIGN39311100.00
CONT_ASSIGN39911100.00
CONT_ASSIGN40711100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN44211100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN45111100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN45911100.00
CONT_ASSIGN49111100.00
CONT_ASSIGN49411100.00
CONT_ASSIGN49711100.00
CONT_ASSIGN50111100.00
CONT_ASSIGN50311100.00
CONT_ASSIGN50411100.00
CONT_ASSIGN50511100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN52111100.00
CONT_ASSIGN52311100.00
CONT_ASSIGN59711100.00
CONT_ASSIGN59811100.00
ALWAYS60066100.00
CONT_ASSIGN61011100.00
CONT_ASSIGN61411100.00
CONT_ASSIGN61711100.00
CONT_ASSIGN62411100.00
CONT_ASSIGN62811100.00
CONT_ASSIGN63611100.00
CONT_ASSIGN65411100.00
CONT_ASSIGN65911100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
ALWAYS67088100.00
CONT_ASSIGN68311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN73611100.00
CONT_ASSIGN73811100.00
CONT_ASSIGN74411100.00
CONT_ASSIGN74511100.00
CONT_ASSIGN74711100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN76211100.00
CONT_ASSIGN77511100.00
CONT_ASSIGN78711100.00
CONT_ASSIGN79011100.00
CONT_ASSIGN79411100.00
CONT_ASSIGN79711100.00
CONT_ASSIGN80011100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
137 1 1
140 4 4
141 4 4
146 4 4
152 1 1
154 3 3
186 1 1
193 4 4
194 4 4
196 4 4
212 4 4
218 4 4
222 4 4
229 1 1
232 1 1
257 1 1
258 1 1
259 1 1
260 1 1
MISSING_ELSE
291 1 1
292 1 1
302 1 1
305 1 1
308 1 1
326 1 1
331 1 1
360 1 1
361 1 1
362 1 1
363 1 1
364 1 1
365 1 1
366 1 1
367 1 1
368 1 1
369 1 1
371 1 1
372 1 1
MISSING_ELSE
377 1 1
382 1 1
393 1 1
399 1 1
407 1 1
428 1 1
432 1 1
442 1 1
445 1 1
451 1 1
456 1 1
459 1 1
491 1 1
494 1 1
497 1 1
501 1 1
503 1 1
504 1 1
505 1 1
513 1 1
521 1 1
523 1 1
597 1 1
598 1 1
600 1 1
601 1 1
602 1 1
603 1 1
604 1 1
605 1 1
MISSING_ELSE
610 1 1
614 1 1
617 1 1
624 1 1
628 1 1
636 1 1
654 1 1
659 1 1
664 4 4
670 1 1
671 1 1
672 1 1
673 1 1
674 1 1
675 1 1
676 1 1
677 1 1
MISSING_ELSE
683 1 1
704 1 1
724 1 1
736 1 1
738 1 1
744 1 1
745 1 1
747 1 1
751 1 1
762 1 1
775 1 1
787 1 1
790 1 1
794 1 1
797 1 1
800 1 1


Cond Coverage for Module : flash_phy_rd
TotalCoveredPercent
Conditions45741691.03
Logical45741691.03
Non-Logical00
Event00

This module contains a very large number of conditions, so the report has been split into multiple pages, by source line number. Click on the line number range in the table below to see the condition coverage for that section of the module.
Line numbersPercent
140-79091.13
790-79483.33

Branch Coverage for Module : flash_phy_rd
Line No.TotalCoveredPercent
Branches 43 43 100.00
TERNARY 186 2 2 100.00
TERNARY 232 2 2 100.00
TERNARY 302 2 2 100.00
TERNARY 451 2 2 100.00
TERNARY 513 3 3 100.00
TERNARY 624 3 3 100.00
TERNARY 628 3 3 100.00
TERNARY 654 3 3 100.00
TERNARY 683 2 2 100.00
TERNARY 736 2 2 100.00
TERNARY 747 2 2 100.00
TERNARY 775 2 2 100.00
TERNARY 167 2 2 100.00
IF 257 3 3 100.00
IF 360 4 4 100.00
IF 600 4 4 100.00
IF 674 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 186 ((|buf_invalid_alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 232 (no_match) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 302 ((|alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 451 ((data_err | ecc_single_err_o)) ?

Branches:
-1-StatusTests
1 Covered T47,T38,T19
0 Covered T1,T2,T3


LineNo. Expression -1-: 513 (hint_descram) ? -2-: 513 (hint_dropmsk) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T4,T5,T58
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 624 (forward) ? -2-: 624 (hint_descram) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 628 (forward) ? -2-: 628 ((~hint_forward)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 654 (forward) ? -2-: 654 (((~hint_forward) & fifo_data_ready)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 683 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 736 (data_err_o) ?

Branches:
-1-StatusTests
1 Covered T11,T61,T47
0 Covered T1,T2,T3


LineNo. Expression -1-: 747 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 775 (rsp_fifo_rdata.intg_ecc_en) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 167 (((|buf_invalid_alloc) | all_buf_dependency)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 257 if ((!rst_ni)) -2-: 259 if (idle_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 360 if ((!rst_ni)) -2-: 364 if (rd_start) -3-: 371 if (rd_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 600 if ((!rst_ni)) -2-: 602 if (calc_req_start) -3-: 604 if (calc_req_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 674 if (buf_rsp_match[i])

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Module : flash_phy_rd
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 11 11 100.00 11 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 11 11 100.00 11 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
BufferMatchEcc_A 783949776 1538130 0 0
ExclusiveOps_A 783949776 782193206 0 0
ExclusiveProgHazard_A 783949776 782193206 0 0
ExclusiveState_A 783949776 782193206 0 0
ForwardCheck_A 783949776 4367550 0 0
IdleCheck_A 783949776 100928178 0 0
MaxBufs_A 2092 2092 0 0
OneHotAlloc_A 783949776 782193206 0 0
OneHotMatch_A 783949776 782193206 0 0
OneHotRspMatch_A 783949776 782193206 0 0
OneHotUpdate_A 783949776 782193206 0 0


BufferMatchEcc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 783949776 1538130 0 0
T1 293372 120 0 0
T2 345260 512 0 0
T3 7736 32 0 0
T4 880 0 0 0
T5 0 2048 0 0
T10 1688284 5120 0 0
T11 2942 0 0 0
T12 8326 0 0 0
T15 390342 0 0 0
T16 770520 0 0 0
T17 1804 0 0 0
T19 0 1541 0 0
T26 0 12067 0 0
T27 0 7186 0 0
T28 0 7367 0 0
T38 0 2837 0 0
T56 0 2560 0 0
T57 0 2388 0 0

ExclusiveOps_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 783949776 782193206 0 0
T1 293372 293188 0 0
T2 345260 345080 0 0
T3 7736 7626 0 0
T4 880 776 0 0
T10 1688284 1688236 0 0
T11 2942 2556 0 0
T12 8326 7002 0 0
T15 390342 390196 0 0
T16 770520 770496 0 0
T17 1804 1686 0 0

ExclusiveProgHazard_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 783949776 782193206 0 0
T1 293372 293188 0 0
T2 345260 345080 0 0
T3 7736 7626 0 0
T4 880 776 0 0
T10 1688284 1688236 0 0
T11 2942 2556 0 0
T12 8326 7002 0 0
T15 390342 390196 0 0
T16 770520 770496 0 0
T17 1804 1686 0 0

ExclusiveState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 783949776 782193206 0 0
T1 293372 293188 0 0
T2 345260 345080 0 0
T3 7736 7626 0 0
T4 880 776 0 0
T10 1688284 1688236 0 0
T11 2942 2556 0 0
T12 8326 7002 0 0
T15 390342 390196 0 0
T16 770520 770496 0 0
T17 1804 1686 0 0

ForwardCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 783949776 4367550 0 0
T1 293372 149 0 0
T2 345260 288 0 0
T3 7736 37 0 0
T4 880 0 0 0
T5 0 19586 0 0
T6 0 16031 0 0
T10 1688284 5120 0 0
T11 2942 0 0 0
T12 8326 0 0 0
T15 390342 0 0 0
T16 770520 0 0 0
T17 1804 0 0 0
T26 0 29955 0 0
T27 0 1045 0 0
T28 0 15620 0 0
T35 0 32 0 0
T56 0 2560 0 0
T58 0 12 0 0

IdleCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 783949776 100928178 0 0
T1 293372 546 0 0
T2 345260 2112 0 0
T3 7736 234 0 0
T4 880 128 0 0
T5 0 19844 0 0
T6 0 408561 0 0
T10 1688284 212517 0 0
T11 2942 268 0 0
T12 8326 800 0 0
T15 390342 128 0 0
T16 770520 1054976 0 0
T17 1804 128 0 0
T19 0 3498 0 0
T26 0 729828 0 0
T38 0 36011 0 0
T56 0 525824 0 0

MaxBufs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2092 2092 0 0
T1 2 2 0 0
T2 2 2 0 0
T3 2 2 0 0
T4 2 2 0 0
T10 2 2 0 0
T11 2 2 0 0
T12 2 2 0 0
T15 2 2 0 0
T16 2 2 0 0
T17 2 2 0 0

OneHotAlloc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 783949776 782193206 0 0
T1 293372 293188 0 0
T2 345260 345080 0 0
T3 7736 7626 0 0
T4 880 776 0 0
T10 1688284 1688236 0 0
T11 2942 2556 0 0
T12 8326 7002 0 0
T15 390342 390196 0 0
T16 770520 770496 0 0
T17 1804 1686 0 0

OneHotMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 783949776 782193206 0 0
T1 293372 293188 0 0
T2 345260 345080 0 0
T3 7736 7626 0 0
T4 880 776 0 0
T10 1688284 1688236 0 0
T11 2942 2556 0 0
T12 8326 7002 0 0
T15 390342 390196 0 0
T16 770520 770496 0 0
T17 1804 1686 0 0

OneHotRspMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 783949776 782193206 0 0
T1 293372 293188 0 0
T2 345260 345080 0 0
T3 7736 7626 0 0
T4 880 776 0 0
T10 1688284 1688236 0 0
T11 2942 2556 0 0
T12 8326 7002 0 0
T15 390342 390196 0 0
T16 770520 770496 0 0
T17 1804 1686 0 0

OneHotUpdate_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 783949776 782193206 0 0
T1 293372 293188 0 0
T2 345260 345080 0 0
T3 7736 7626 0 0
T4 880 776 0 0
T10 1688284 1688236 0 0
T11 2942 2556 0 0
T12 8326 7002 0 0
T15 390342 390196 0 0
T16 770520 770496 0 0
T17 1804 1686 0 0

Line Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd
Line No.TotalCoveredPercent
TOTAL133133100.00
CONT_ASSIGN13711100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN15211100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN18611100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22911100.00
CONT_ASSIGN23211100.00
ALWAYS25744100.00
CONT_ASSIGN29111100.00
CONT_ASSIGN29211100.00
CONT_ASSIGN30211100.00
CONT_ASSIGN30511100.00
CONT_ASSIGN30811100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN33111100.00
ALWAYS3601212100.00
CONT_ASSIGN37711100.00
CONT_ASSIGN38211100.00
CONT_ASSIGN39311100.00
CONT_ASSIGN39911100.00
CONT_ASSIGN40711100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN44211100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN45111100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN45911100.00
CONT_ASSIGN49111100.00
CONT_ASSIGN49411100.00
CONT_ASSIGN49711100.00
CONT_ASSIGN50111100.00
CONT_ASSIGN50311100.00
CONT_ASSIGN50411100.00
CONT_ASSIGN50511100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN52111100.00
CONT_ASSIGN52311100.00
CONT_ASSIGN59711100.00
CONT_ASSIGN59811100.00
ALWAYS60066100.00
CONT_ASSIGN61011100.00
CONT_ASSIGN61411100.00
CONT_ASSIGN61711100.00
CONT_ASSIGN62411100.00
CONT_ASSIGN62811100.00
CONT_ASSIGN63611100.00
CONT_ASSIGN65411100.00
CONT_ASSIGN65911100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
ALWAYS67088100.00
CONT_ASSIGN68311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN73611100.00
CONT_ASSIGN73811100.00
CONT_ASSIGN74411100.00
CONT_ASSIGN74511100.00
CONT_ASSIGN74711100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN76211100.00
CONT_ASSIGN77511100.00
CONT_ASSIGN78711100.00
CONT_ASSIGN79011100.00
CONT_ASSIGN79411100.00
CONT_ASSIGN79711100.00
CONT_ASSIGN80011100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
137 1 1
140 4 4
141 4 4
146 4 4
152 1 1
154 3 3
186 1 1
193 4 4
194 4 4
196 4 4
212 4 4
218 4 4
222 4 4
229 1 1
232 1 1
257 1 1
258 1 1
259 1 1
260 1 1
MISSING_ELSE
291 1 1
292 1 1
302 1 1
305 1 1
308 1 1
326 1 1
331 1 1
360 1 1
361 1 1
362 1 1
363 1 1
364 1 1
365 1 1
366 1 1
367 1 1
368 1 1
369 1 1
371 1 1
372 1 1
MISSING_ELSE
377 1 1
382 1 1
393 1 1
399 1 1
407 1 1
428 1 1
432 1 1
442 1 1
445 1 1
451 1 1
456 1 1
459 1 1
491 1 1
494 1 1
497 1 1
501 1 1
503 1 1
504 1 1
505 1 1
513 1 1
521 1 1
523 1 1
597 1 1
598 1 1
600 1 1
601 1 1
602 1 1
603 1 1
604 1 1
605 1 1
MISSING_ELSE
610 1 1
614 1 1
617 1 1
624 1 1
628 1 1
636 1 1
654 1 1
659 1 1
664 4 4
670 1 1
671 1 1
672 1 1
673 1 1
674 1 1
675 1 1
676 1 1
677 1 1
MISSING_ELSE
683 1 1
704 1 1
724 1 1
736 1 1
738 1 1
744 1 1
745 1 1
747 1 1
751 1 1
762 1 1
775 1 1
787 1 1
790 1 1
794 1 1
797 1 1
800 1 1


Cond Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd
TotalCoveredPercent
Conditions45741290.15
Logical45741290.15
Non-Logical00
Event00

 LINE       140
 EXPRESSION (read_buf[0].attr == Valid)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T10

 LINE       140
 EXPRESSION (read_buf[1].attr == Valid)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T10

 LINE       140
 EXPRESSION (read_buf[2].attr == Valid)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T10

 LINE       140
 EXPRESSION (read_buf[3].attr == Valid)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T10

 LINE       141
 EXPRESSION (read_buf[0].attr == Wip)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T10

 LINE       141
 EXPRESSION (read_buf[1].attr == Wip)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T10

 LINE       141
 EXPRESSION (read_buf[2].attr == Wip)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T10

 LINE       141
 EXPRESSION (read_buf[3].attr == Wip)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T10

 LINE       146
 EXPRESSION ((read_buf[0].attr == Invalid) | ((read_buf[0].attr == Valid) & read_buf[0].err & ((~buf_dependency[0]))))
             --------------1--------------   ------------------------------------2-----------------------------------
-1--2-StatusTests
00CoveredT1,T3,T10
01CoveredT183,T184,T196
10CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION (read_buf[0].attr == Invalid)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION ((read_buf[0].attr == Valid) & read_buf[0].err & ((~buf_dependency[0])))
                 -------------1-------------   -------2-------   -----------3----------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T3,T10
110Not Covered
111CoveredT183,T184,T196

 LINE       146
 SUB-EXPRESSION (read_buf[0].attr == Valid)
                -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T10

 LINE       146
 EXPRESSION ((read_buf[1].attr == Invalid) | ((read_buf[1].attr == Valid) & read_buf[1].err & ((~buf_dependency[1]))))
             --------------1--------------   ------------------------------------2-----------------------------------
-1--2-StatusTests
00CoveredT1,T3,T10
01CoveredT183,T184,T188
10CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION (read_buf[1].attr == Invalid)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION ((read_buf[1].attr == Valid) & read_buf[1].err & ((~buf_dependency[1])))
                 -------------1-------------   -------2-------   -----------3----------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T3,T10
110Not Covered
111CoveredT183,T184,T188

 LINE       146
 SUB-EXPRESSION (read_buf[1].attr == Valid)
                -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T10

 LINE       146
 EXPRESSION ((read_buf[2].attr == Invalid) | ((read_buf[2].attr == Valid) & read_buf[2].err & ((~buf_dependency[2]))))
             --------------1--------------   ------------------------------------2-----------------------------------
-1--2-StatusTests
00CoveredT1,T3,T10
01CoveredT54,T183,T184
10CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION (read_buf[2].attr == Invalid)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION ((read_buf[2].attr == Valid) & read_buf[2].err & ((~buf_dependency[2])))
                 -------------1-------------   -------2-------   -----------3----------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T3,T10
110Not Covered
111CoveredT54,T183,T184

 LINE       146
 SUB-EXPRESSION (read_buf[2].attr == Valid)
                -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T10

 LINE       146
 EXPRESSION ((read_buf[3].attr == Invalid) | ((read_buf[3].attr == Valid) & read_buf[3].err & ((~buf_dependency[3]))))
             --------------1--------------   ------------------------------------2-----------------------------------
-1--2-StatusTests
00CoveredT1,T3,T10
01CoveredT54,T183,T184
10CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION (read_buf[3].attr == Invalid)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION ((read_buf[3].attr == Valid) & read_buf[3].err & ((~buf_dependency[3])))
                 -------------1-------------   -------2-------   -----------3----------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T3,T10
110Not Covered
111CoveredT54,T183,T184

 LINE       146
 SUB-EXPRESSION (read_buf[3].attr == Valid)
                -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T10

 LINE       154
 EXPRESSION (buf_invalid[1] & ((~|buf_invalid[0])))
             -------1------   ----------2---------
-1--2-StatusTests
01CoveredT1,T3,T10
10CoveredT1,T2,T3
11CoveredT1,T3,T10

 LINE       154
 EXPRESSION (buf_invalid[2] & ((~|buf_invalid[(2 - 1):0])))
             -------1------   --------------2-------------
-1--2-StatusTests
01CoveredT1,T3,T10
10CoveredT1,T2,T3
11CoveredT1,T3,T10

 LINE       154
 EXPRESSION (buf_invalid[3] & ((~|buf_invalid[(3 - 1):0])))
             -------1------   --------------2-------------
-1--2-StatusTests
01CoveredT1,T3,T10
10CoveredT1,T2,T3
11CoveredT1,T3,T10

 LINE       167
 EXPRESSION ((((|buf_invalid_alloc)) | all_buf_dependency) ? '0 : ((buf_valid & (~buf_dependency))))
             ----------------------1----------------------
-1-StatusTests
0CoveredT1,T3,T10
1CoveredT1,T2,T3

 LINE       167
 SUB-EXPRESSION (((|buf_invalid_alloc)) | all_buf_dependency)
                 -----------1----------   ---------2--------
-1--2-StatusTests
00CoveredT1,T3,T10
01Not Covered
10CoveredT1,T2,T3

 LINE       167
 EXPRESSION (req_o & no_match)
             --1--   ----2---
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T3,T10

 LINE       186
 EXPRESSION (((|buf_invalid_alloc)) ? buf_invalid_alloc : buf_valid_alloc)
             -----------1----------
-1-StatusTests
0CoveredT1,T3,T10
1CoveredT1,T2,T3

 LINE       193
 EXPRESSION (read_buf[0].part == part_i)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       193
 EXPRESSION (read_buf[1].part == part_i)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       193
 EXPRESSION (read_buf[2].part == part_i)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       193
 EXPRESSION (read_buf[3].part == part_i)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       194
 EXPRESSION (read_buf[0].info_sel == info_sel_i)
            ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       194
 EXPRESSION (read_buf[1].info_sel == info_sel_i)
            ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       194
 EXPRESSION (read_buf[2].info_sel == info_sel_i)
            ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       194
 EXPRESSION (read_buf[3].info_sel == info_sel_i)
            ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION 
 Number  Term
      1  req_i & 
      2  buf_en_q & 
      3  (buf_valid[0] | buf_wip[0]) & 
      4  (read_buf[0].addr == flash_word_addr) & 
      5  ((~read_buf[0].err)) & 
      6  gen_buf_match[0].part_match & 
      7  gen_buf_match[0].info_sel_match)
-1--2--3--4--5--6--7-StatusTests
0111111CoveredT1,T3,T10
1011111Not Covered
1101111CoveredT138,T197,T198
1110111CoveredT1,T3,T10
1111011CoveredT183,T188,T189
1111101Not Covered
1111110CoveredT125,T126,T191
1111111CoveredT1,T3,T10

 LINE       196
 SUB-EXPRESSION (buf_valid[0] | buf_wip[0])
                 ------1-----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T10
10CoveredT1,T3,T10

 LINE       196
 SUB-EXPRESSION (read_buf[0].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION 
 Number  Term
      1  req_i & 
      2  buf_en_q & 
      3  (buf_valid[1] | buf_wip[1]) & 
      4  (read_buf[1].addr == flash_word_addr) & 
      5  ((~read_buf[1].err)) & 
      6  gen_buf_match[1].part_match & 
      7  gen_buf_match[1].info_sel_match)
-1--2--3--4--5--6--7-StatusTests
0111111CoveredT1,T3,T10
1011111Not Covered
1101111CoveredT128,T199,T130
1110111CoveredT1,T3,T10
1111011CoveredT188,T189,T190
1111101Not Covered
1111110CoveredT200,T201,T202
1111111CoveredT1,T3,T10

 LINE       196
 SUB-EXPRESSION (buf_valid[1] | buf_wip[1])
                 ------1-----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T10
10CoveredT1,T3,T10

 LINE       196
 SUB-EXPRESSION (read_buf[1].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION 
 Number  Term
      1  req_i & 
      2  buf_en_q & 
      3  (buf_valid[2] | buf_wip[2]) & 
      4  (read_buf[2].addr == flash_word_addr) & 
      5  ((~read_buf[2].err)) & 
      6  gen_buf_match[2].part_match & 
      7  gen_buf_match[2].info_sel_match)
-1--2--3--4--5--6--7-StatusTests
0111111CoveredT1,T3,T10
1011111Not Covered
1101111CoveredT80,T128,T107
1110111CoveredT1,T3,T10
1111011CoveredT183,T190,T203
1111101Not Covered
1111110CoveredT36,T54,T183
1111111CoveredT1,T3,T10

 LINE       196
 SUB-EXPRESSION (buf_valid[2] | buf_wip[2])
                 ------1-----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T10
10CoveredT1,T3,T10

 LINE       196
 SUB-EXPRESSION (read_buf[2].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION 
 Number  Term
      1  req_i & 
      2  buf_en_q & 
      3  (buf_valid[3] | buf_wip[3]) & 
      4  (read_buf[3].addr == flash_word_addr) & 
      5  ((~read_buf[3].err)) & 
      6  gen_buf_match[3].part_match & 
      7  gen_buf_match[3].info_sel_match)
-1--2--3--4--5--6--7-StatusTests
0111111CoveredT1,T3,T10
1011111Not Covered
1101111CoveredT80,T128,T107
1110111CoveredT1,T3,T10
1111011CoveredT183,T190,T204
1111101Not Covered
1111110CoveredT125,T36,T205
1111111CoveredT1,T3,T10

 LINE       196
 SUB-EXPRESSION (buf_valid[3] | buf_wip[3])
                 ------1-----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T10
10CoveredT1,T3,T10

 LINE       196
 SUB-EXPRESSION (read_buf[3].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       212
 EXPRESSION ((read_buf[0].addr == flash_word_addr) & gen_buf_match[0].part_match & gen_buf_match[0].info_sel_match)
             ------------------1------------------   -------------2-------------   ---------------3---------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT125,T126,T191
111CoveredT1,T2,T3

 LINE       212
 SUB-EXPRESSION (read_buf[0].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       212
 EXPRESSION ((read_buf[1].addr == flash_word_addr) & gen_buf_match[1].part_match & gen_buf_match[1].info_sel_match)
             ------------------1------------------   -------------2-------------   ---------------3---------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT31,T200,T201
111CoveredT1,T2,T3

 LINE       212
 SUB-EXPRESSION (read_buf[1].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       212
 EXPRESSION ((read_buf[2].addr == flash_word_addr) & gen_buf_match[2].part_match & gen_buf_match[2].info_sel_match)
             ------------------1------------------   -------------2-------------   ---------------3---------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT36,T54,T183
111CoveredT1,T2,T3

 LINE       212
 SUB-EXPRESSION (read_buf[2].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       212
 EXPRESSION ((read_buf[3].addr == flash_word_addr) & gen_buf_match[3].part_match & gen_buf_match[3].info_sel_match)
             ------------------1------------------   -------------2-------------   ---------------3---------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT125,T36,T205
111CoveredT1,T2,T3

 LINE       212
 SUB-EXPRESSION (read_buf[3].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       218
 EXPRESSION 
 Number  Term
      1  (read_buf[0].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW]) & 
      2  gen_buf_match[0].part_match & 
      3  gen_buf_match[0].info_sel_match)
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT5,T125,T36
111CoveredT1,T2,T3

 LINE       218
 SUB-EXPRESSION (read_buf[0].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW])
                -----------------------------------------------------------1-----------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       218
 EXPRESSION 
 Number  Term
      1  (read_buf[1].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW]) & 
      2  gen_buf_match[1].part_match & 
      3  gen_buf_match[1].info_sel_match)
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT1,T31,T32
111CoveredT1,T2,T3

 LINE       218
 SUB-EXPRESSION (read_buf[1].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW])
                -----------------------------------------------------------1-----------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       218
 EXPRESSION 
 Number  Term
      1  (read_buf[2].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW]) & 
      2  gen_buf_match[2].part_match & 
      3  gen_buf_match[2].info_sel_match)
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT36,T206,T54
111CoveredT1,T2,T3

 LINE       218
 SUB-EXPRESSION (read_buf[2].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW])
                -----------------------------------------------------------1-----------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       218
 EXPRESSION 
 Number  Term
      1  (read_buf[3].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW]) & 
      2  gen_buf_match[3].part_match & 
      3  gen_buf_match[3].info_sel_match)
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT20,T31,T125
111CoveredT1,T2,T3

 LINE       218
 SUB-EXPRESSION (read_buf[3].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW])
                -----------------------------------------------------------1-----------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       222
 EXPRESSION (buf_valid[0] & (bk_erase_i | (prog_i & gen_buf_match[0].word_addr_match) | (pg_erase_i & gen_buf_match[0].page_addr_match)))
             ------1-----   ------------------------------------------------------2-----------------------------------------------------
-1--2-StatusTests
01CoveredT1,T10,T16
10CoveredT1,T3,T10
11CoveredT1,T10,T80

 LINE       222
 SUB-EXPRESSION (bk_erase_i | (prog_i & gen_buf_match[0].word_addr_match) | (pg_erase_i & gen_buf_match[0].page_addr_match))
                 -----1----   ---------------------2---------------------   -----------------------3-----------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT10,T16,T56
010CoveredT10,T16,T56
100CoveredT1,T10,T56

 LINE       222
 SUB-EXPRESSION (prog_i & gen_buf_match[0].word_addr_match)
                 ---1--   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T10,T15
11CoveredT10,T16,T56

 LINE       222
 SUB-EXPRESSION (pg_erase_i & gen_buf_match[0].page_addr_match)
                 -----1----   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T10,T16
11CoveredT10,T16,T56

 LINE       222
 EXPRESSION (buf_valid[1] & (bk_erase_i | (prog_i & gen_buf_match[1].word_addr_match) | (pg_erase_i & gen_buf_match[1].page_addr_match)))
             ------1-----   ------------------------------------------------------2-----------------------------------------------------
-1--2-StatusTests
01CoveredT1,T10,T16
10CoveredT1,T3,T10
11CoveredT1,T10,T80

 LINE       222
 SUB-EXPRESSION (bk_erase_i | (prog_i & gen_buf_match[1].word_addr_match) | (pg_erase_i & gen_buf_match[1].page_addr_match))
                 -----1----   ---------------------2---------------------   -----------------------3-----------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT10,T16,T56
010CoveredT10,T16,T56
100CoveredT1,T10,T56

 LINE       222
 SUB-EXPRESSION (prog_i & gen_buf_match[1].word_addr_match)
                 ---1--   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T10,T15
11CoveredT10,T16,T56

 LINE       222
 SUB-EXPRESSION (pg_erase_i & gen_buf_match[1].page_addr_match)
                 -----1----   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T10,T16
11CoveredT10,T16,T56

 LINE       222
 EXPRESSION (buf_valid[2] & (bk_erase_i | (prog_i & gen_buf_match[2].word_addr_match) | (pg_erase_i & gen_buf_match[2].page_addr_match)))
             ------1-----   ------------------------------------------------------2-----------------------------------------------------
-1--2-StatusTests
01CoveredT1,T10,T16
10CoveredT1,T3,T10
11CoveredT1,T10,T80

 LINE       222
 SUB-EXPRESSION (bk_erase_i | (prog_i & gen_buf_match[2].word_addr_match) | (pg_erase_i & gen_buf_match[2].page_addr_match))
                 -----1----   ---------------------2---------------------   -----------------------3-----------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT10,T16,T56
010CoveredT10,T16,T56
100CoveredT1,T10,T56

 LINE       222
 SUB-EXPRESSION (prog_i & gen_buf_match[2].word_addr_match)
                 ---1--   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T10,T15
11CoveredT10,T16,T56

 LINE       222
 SUB-EXPRESSION (pg_erase_i & gen_buf_match[2].page_addr_match)
                 -----1----   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T10,T16
11CoveredT10,T16,T56

 LINE       222
 EXPRESSION (buf_valid[3] & (bk_erase_i | (prog_i & gen_buf_match[3].word_addr_match) | (pg_erase_i & gen_buf_match[3].page_addr_match)))
             ------1-----   ------------------------------------------------------2-----------------------------------------------------
-1--2-StatusTests
01CoveredT1,T10,T16
10CoveredT1,T3,T10
11CoveredT1,T10,T80

 LINE       222
 SUB-EXPRESSION (bk_erase_i | (prog_i & gen_buf_match[3].word_addr_match) | (pg_erase_i & gen_buf_match[3].page_addr_match))
                 -----1----   ---------------------2---------------------   -----------------------3-----------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT10,T16,T56
010CoveredT10,T16,T56
100CoveredT1,T10,T56

 LINE       222
 SUB-EXPRESSION (prog_i & gen_buf_match[3].word_addr_match)
                 ---1--   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T10,T15
11CoveredT10,T16,T56

 LINE       222
 SUB-EXPRESSION (pg_erase_i & gen_buf_match[3].page_addr_match)
                 -----1----   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T10,T16
11CoveredT10,T16,T56

 LINE       232
 EXPRESSION (no_match ? (({flash_phy_pkg::NumBuf {(req_i & buf_en_q)}} & buf_alloc)) : '0)
             ----1---
-1-StatusTests
0CoveredT1,T3,T10
1CoveredT1,T2,T3

 LINE       239
 EXPRESSION (rdy_o & alloc[0])
             --1--   ----2---
-1--2-StatusTests
01CoveredT192,T125,T126
10CoveredT1,T2,T3
11CoveredT1,T3,T10

 LINE       239
 EXPRESSION (rdy_o & alloc[1])
             --1--   ----2---
-1--2-StatusTests
01CoveredT19,T58,T27
10CoveredT1,T2,T3
11CoveredT1,T3,T10

 LINE       239
 EXPRESSION (rdy_o & alloc[2])
             --1--   ----2---
-1--2-StatusTests
01CoveredT6,T58,T27
10CoveredT1,T2,T3
11CoveredT1,T3,T10

 LINE       239
 EXPRESSION (rdy_o & alloc[3])
             --1--   ----2---
-1--2-StatusTests
01CoveredT6,T58,T20
10CoveredT1,T2,T3
11CoveredT1,T3,T10

 LINE       291
 EXPRESSION (req_o & ack_i)
             --1--   --2--
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT192,T125,T126
11CoveredT1,T3,T10

 LINE       292
 EXPRESSION (rd_busy & done_i)
             ---1---   ---2--
-1--2-StatusTests
01CoveredT1,T10,T15
10CoveredT1,T3,T10
11CoveredT1,T3,T10

 LINE       302
 EXPRESSION (((|alloc)) ? buf_alloc : buf_match)
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T10

 LINE       305
 EXPRESSION (req_i && rdy_o)
             --1--    --2--
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T5,T6
11CoveredT1,T3,T10

 LINE       308
 EXPRESSION (rsp_fifo_vld & data_valid_o)
             ------1-----   ------2-----
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T10
11CoveredT1,T3,T10

 LINE       377
 EXPRESSION (((~rd_busy)) | rd_done)
             ------1-----   ---2---
-1--2-StatusTests
00CoveredT1,T3,T10
01CoveredT1,T3,T10
10CoveredT1,T2,T3

 LINE       382
 EXPRESSION (rsp_fifo_rdy & scramble_stage_rdy)
             ------1-----   ---------2--------
-1--2-StatusTests
01CoveredT42,T62
10CoveredT42,T62
11CoveredT1,T2,T3

 LINE       393
 EXPRESSION (buf_en_q == buf_en_i)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       399
 EXPRESSION ((no_match ? (ack_i & flash_rdy & rd_stages_rdy) : rd_stages_rdy) & ((~all_buf_dependency)) & no_buf_en_change & (calc_req_o ? calc_req_done : 1'b1))
             --------------------------------1-------------------------------   -----------2-----------   --------3-------   -----------------4-----------------
-1--2--3--4-StatusTests
0111CoveredT1,T2,T3
1011Not Covered
1101CoveredT1,T2,T3
1110CoveredT38,T19,T58
1111CoveredT1,T2,T3

 LINE       399
 SUB-EXPRESSION (no_match ? (ack_i & flash_rdy & rd_stages_rdy) : rd_stages_rdy)
                 ----1---
-1-StatusTests
0CoveredT1,T3,T10
1CoveredT1,T2,T3

 LINE       399
 SUB-EXPRESSION (ack_i & flash_rdy & rd_stages_rdy)
                 --1--   ----2----   ------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T3,T10
110CoveredT38,T19,T27
111CoveredT1,T2,T3

 LINE       399
 SUB-EXPRESSION (calc_req_o ? calc_req_done : 1'b1)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT10,T4,T16

 LINE       407
 EXPRESSION (req_i & no_buf_en_change & flash_rdy & rd_stages_rdy & no_match & (calc_req_o ? calc_req_done : 1'b1))
             --1--   --------2-------   ----3----   ------4------   ----5---   -----------------6-----------------
-1--2--3--4--5--6-StatusTests
011111CoveredT1,T2,T3
101111CoveredT194
110111CoveredT4,T5,T6
111011Not Covered
111101CoveredT1,T3,T10
111110CoveredT38,T58,T27
111111CoveredT1,T3,T10

 LINE       407
 SUB-EXPRESSION (calc_req_o ? calc_req_done : 1'b1)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT10,T4,T16

 LINE       428
 EXPRESSION (rd_done && rd_attrs.ecc)
             ---1---    ------2-----
-1--2-StatusTests
01CoveredT10,T4,T16
10CoveredT1,T3,T10
11CoveredT10,T4,T16

 LINE       432
 EXPRESSION (rd_done & (data_i == {flash_phy_pkg::FullDataWidth {1'b1}}))
             ---1---   ------------------------2------------------------
-1--2-StatusTests
01CoveredT1,T10,T15
10CoveredT1,T3,T10
11CoveredT4,T5,T58

 LINE       432
 SUB-EXPRESSION (data_i == {flash_phy_pkg::FullDataWidth {1'b1}})
                ------------------------1------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T10,T15

 LINE       442
 EXPRESSION (valid_ecc & ecc_multi_err)
             ----1----   ------2------
-1--2-StatusTests
01CoveredT10,T6,T26
10CoveredT10,T4,T16
11CoveredT54,T183,T184

 LINE       451
 EXPRESSION ((data_err | ecc_single_err_o) ? data_ecc_chk : data_i[(flash_phy_pkg::PlainDataWidth - 1):0])
             --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT38,T19,T20

 LINE       451
 SUB-EXPRESSION (data_err | ecc_single_err_o)
                 ----1---   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT38,T19,T20
10CoveredT54,T183,T184

 LINE       456
 EXPRESSION (valid_ecc & ecc_single_err)
             ----1----   -------2------
-1--2-StatusTests
01CoveredT10,T6,T26
10CoveredT10,T4,T16
11CoveredT38,T19,T20

 LINE       491
 EXPRESSION (data_fifo_rdy & mask_fifo_rdy & addr_xor_fifo_rdy)
             ------1------   ------2------   --------3--------
-1--2--3-StatusTests
011CoveredT42,T62
101Not Covered
110CoveredT38,T19,T27
111CoveredT1,T2,T3

 LINE       494
 EXPRESSION (rd_done & rd_attrs.descramble & ((~data_erased)))
             ---1---   ---------2---------   --------3-------
-1--2--3-StatusTests
011CoveredT10,T4,T16
101CoveredT1,T3,T10
110CoveredT4,T58,T68
111CoveredT10,T16,T56

 LINE       497
 EXPRESSION (rd_done & rd_attrs.descramble & data_erased)
             ---1---   ---------2---------   -----3-----
-1--2--3-StatusTests
011Not Covered
101CoveredT4,T5,T48
110CoveredT10,T16,T56
111CoveredT4,T58,T68

 LINE       501
 EXPRESSION (rd_done & ((~descram)) & ((~fifo_data_valid)))
             ---1---   ------2-----   ----------3---------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT10,T16,T56
110CoveredT24,T195,T192
111CoveredT1,T3,T10

 LINE       503
 EXPRESSION (fifo_data_valid & descram_q)
             -------1-------   ----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T10
11CoveredT10,T16,T56

 LINE       504
 EXPRESSION (fifo_data_valid & dropmsk_q)
             -------1-------   ----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T10
11CoveredT4,T58,T68

 LINE       505
 EXPRESSION (fifo_data_valid & forward_q)
             -------1-------   ----2----
-1--2-StatusTests
01Not Covered
10CoveredT10,T16,T56
11CoveredT1,T3,T10

 LINE       513
 EXPRESSION (hint_descram ? (descramble_req_o & descramble_ack_i) : (hint_dropmsk ? mask_valid : fifo_data_valid))
             ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT10,T16,T56

 LINE       513
 SUB-EXPRESSION (descramble_req_o & descramble_ack_i)
                 --------1-------   --------2-------
-1--2-StatusTests
01Not Covered
10CoveredT10,T16,T56
11CoveredT10,T16,T56

 LINE       513
 SUB-EXPRESSION (hint_dropmsk ? mask_valid : fifo_data_valid)
                 ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T58,T68

 LINE       521
 EXPRESSION (hint_forward & (hint_dropmsk ? mask_valid : 1'b1))
             ------1-----   -----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT58,T59,T192
11CoveredT1,T3,T10

 LINE       521
 SUB-EXPRESSION (hint_dropmsk ? mask_valid : 1'b1)
                 ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T58,T68

 LINE       523
 EXPRESSION (fifo_data_ready | fifo_forward_pop)
             -------1-------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT10,T16,T56

 LINE       597
 EXPRESSION (req_o & ack_i & descramble_i)
             --1--   --2--   ------3-----
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT192,T125,T126
110CoveredT1,T3,T10
111CoveredT10,T4,T16

 LINE       598
 EXPRESSION (calc_req_o & calc_ack_i)
             -----1----   -----2----
-1--2-StatusTests
01CoveredT10,T15,T16
10CoveredT10,T4,T16
11CoveredT10,T4,T16

 LINE       614
 EXPRESSION (fifo_data_valid & mask_valid & hint_descram)
             -------1-------   -----2----   ------3-----
-1--2--3-StatusTests
011Not Covered
101CoveredT38,T19,T27
110CoveredT4,T58,T68
111CoveredT10,T16,T56

 LINE       624
 EXPRESSION 
 Number  Term
      1  forward ? data_int : (hint_descram ? ({fifo_data[(flash_phy_pkg::PlainDataWidth - 1)-:flash_phy_pkg::PlainIntgWidth], (descrambled_data_i ^ mask)}) : fifo_data))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T10

 LINE       624
 SUB-EXPRESSION (hint_descram ? ({fifo_data[(flash_phy_pkg::PlainDataWidth - 1)-:flash_phy_pkg::PlainIntgWidth], (descrambled_data_i ^ mask)}) : fifo_data)
                 ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT10,T16,T56

 LINE       628
 EXPRESSION (forward ? data_err : (((~hint_forward)) ? data_err_q : '0))
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T10

 LINE       628
 SUB-EXPRESSION (((~hint_forward)) ? data_err_q : '0)
                 --------1--------
-1-StatusTests
0CoveredT1,T3,T10
1CoveredT1,T2,T3

 LINE       636
 EXPRESSION (forward | (((~hint_forward)) & fifo_data_ready))
             ---1---   ------------------2------------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT10,T16,T56
10CoveredT1,T3,T10

 LINE       636
 SUB-EXPRESSION (((~hint_forward)) & fifo_data_ready)
                 --------1--------   -------2-------
-1--2-StatusTests
01CoveredT1,T3,T10
10CoveredT1,T2,T3
11CoveredT10,T16,T56

 LINE       654
 EXPRESSION (forward ? alloc_q : ((((~hint_forward)) & fifo_data_ready) ? alloc_q2 : '0))
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T10

 LINE       654
 SUB-EXPRESSION ((((~hint_forward)) & fifo_data_ready) ? alloc_q2 : '0)
                 ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT10,T16,T56

 LINE       654
 SUB-EXPRESSION (((~hint_forward)) & fifo_data_ready)
                 --------1--------   -------2-------
-1--2-StatusTests
01CoveredT1,T3,T10
10CoveredT1,T2,T3
11CoveredT10,T16,T56

 LINE       659
 EXPRESSION (rsp_fifo_vld & data_valid & (((~buf_en_q)) | (rsp_fifo_rdata.buf_sel == update)))
             ------1-----   -----2----   --------------------------3-------------------------
-1--2--3-StatusTests
011CoveredT42,T62
101CoveredT10,T16,T56
110Not Covered
111CoveredT1,T3,T10

 LINE       659
 SUB-EXPRESSION (((~buf_en_q)) | (rsp_fifo_rdata.buf_sel == update))
                 ------1------   -----------------2----------------
-1--2-StatusTests
00CoveredT1,T3,T10
01CoveredT1,T2,T3
10Not Covered

 LINE       659
 SUB-EXPRESSION (rsp_fifo_rdata.buf_sel == update)
                -----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       664
 EXPRESSION (buf_en_q & rsp_fifo_vld & rsp_fifo_rdata.buf_sel[0] & buf_valid[0])
             ----1---   ------2-----   ------------3------------   ------4-----
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T3,T10
1110CoveredT1,T3,T10
1111CoveredT1,T3,T10

 LINE       664
 EXPRESSION (buf_en_q & rsp_fifo_vld & rsp_fifo_rdata.buf_sel[1] & buf_valid[1])
             ----1---   ------2-----   ------------3------------   ------4-----
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T3,T10
1110CoveredT1,T3,T10
1111CoveredT1,T3,T10

 LINE       664
 EXPRESSION (buf_en_q & rsp_fifo_vld & rsp_fifo_rdata.buf_sel[2] & buf_valid[2])
             ----1---   ------2-----   ------------3------------   ------4-----
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T3,T10
1110CoveredT1,T3,T10
1111CoveredT1,T3,T10

 LINE       664
 EXPRESSION (buf_en_q & rsp_fifo_vld & rsp_fifo_rdata.buf_sel[3] & buf_valid[3])
             ----1---   ------2-----   ------------3------------   ------4-----
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T3,T10
1110CoveredT1,T3,T10
1111CoveredT1,T3,T10

 LINE       677
 EXPRESSION (buf_rsp_err | read_buf[i].err)
             -----1-----   -------2-------
-1--2-StatusTests
00CoveredT1,T3,T10
01Not Covered
10Not Covered

 LINE       683
 EXPRESSION (((|buf_rsp_match)) ? buf_rsp_data : muxed_data)
             ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T10

 LINE       736
 EXPRESSION (data_err_o ? inv_data_integ : data_out_intg)
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT23,T53,T54

 LINE       747
 EXPRESSION (((|buf_rsp_match)) ? buf_addr_xor_muxed : fifo_addr_xor_muxed)
             ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T10

 LINE       775
 EXPRESSION (rsp_fifo_rdata.intg_ecc_en ? (truncated_intg != data_out_muxed[flash_phy_pkg::DataWidth+:flash_phy_pkg::PlainIntgWidth]) : '0)
             -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT10,T4,T16

 LINE       775
 SUB-EXPRESSION (truncated_intg != data_out_muxed[flash_phy_pkg::DataWidth+:flash_phy_pkg::PlainIntgWidth])
                ---------------------------------------------1---------------------------------------------
-1-StatusTests
0CoveredT10,T4,T16
1CoveredT10,T16,T56

 LINE       787
 EXPRESSION (flash_rsp_match | ((|buf_rsp_match)))
             -------1-------   ---------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T10
10CoveredT1,T3,T10

 LINE       790
 EXPRESSION ((data_valid_o & (muxed_err | intg_err | (((|buf_rsp_match)) & buf_rsp_err))) | arb_err_i)
             --------------------------------------1-------------------------------------   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT23,T53,T54

 LINE       790
 SUB-EXPRESSION (data_valid_o & (muxed_err | intg_err | (((|buf_rsp_match)) & buf_rsp_err)))
                 ------1-----   -----------------------------2-----------------------------
-1--2-StatusTests
01CoveredT10,T16,T56
10CoveredT1,T3,T10
11CoveredT23,T53,T54

 LINE       790
 SUB-EXPRESSION (muxed_err | intg_err | (((|buf_rsp_match)) & buf_rsp_err))
                 ----1----   ----2---   -----------------3----------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001Not Covered
010CoveredT10,T16,T56
100CoveredT183,T184,T188

 LINE       790
 SUB-EXPRESSION (((|buf_rsp_match)) & buf_rsp_err)
                 ---------1--------   -----2-----
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T10
11Not Covered

 LINE       794
 EXPRESSION (data_valid_o & intg_err)
             ------1-----   ----2---
-1--2-StatusTests
01CoveredT10,T16,T56
10CoveredT1,T3,T10
11CoveredT23,T53,T54

Branch Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd
Line No.TotalCoveredPercent
Branches 43 43 100.00
TERNARY 186 2 2 100.00
TERNARY 232 2 2 100.00
TERNARY 302 2 2 100.00
TERNARY 451 2 2 100.00
TERNARY 513 3 3 100.00
TERNARY 624 3 3 100.00
TERNARY 628 3 3 100.00
TERNARY 654 3 3 100.00
TERNARY 683 2 2 100.00
TERNARY 736 2 2 100.00
TERNARY 747 2 2 100.00
TERNARY 775 2 2 100.00
TERNARY 167 2 2 100.00
IF 257 3 3 100.00
IF 360 4 4 100.00
IF 600 4 4 100.00
IF 674 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 186 ((|buf_invalid_alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T10


LineNo. Expression -1-: 232 (no_match) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T10


LineNo. Expression -1-: 302 ((|alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T10
0 Covered T1,T2,T3


LineNo. Expression -1-: 451 ((data_err | ecc_single_err_o)) ?

Branches:
-1-StatusTests
1 Covered T38,T19,T20
0 Covered T1,T2,T3


LineNo. Expression -1-: 513 (hint_descram) ? -2-: 513 (hint_dropmsk) ?

Branches:
-1--2-StatusTests
1 - Covered T10,T16,T56
0 1 Covered T4,T58,T68
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 624 (forward) ? -2-: 624 (hint_descram) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T3,T10
0 1 Covered T10,T16,T56
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 628 (forward) ? -2-: 628 ((~hint_forward)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T3,T10
0 1 Covered T1,T2,T3
0 0 Covered T1,T3,T10


LineNo. Expression -1-: 654 (forward) ? -2-: 654 (((~hint_forward) & fifo_data_ready)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T3,T10
0 1 Covered T10,T16,T56
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 683 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T10
0 Covered T1,T2,T3


LineNo. Expression -1-: 736 (data_err_o) ?

Branches:
-1-StatusTests
1 Covered T23,T53,T54
0 Covered T1,T2,T3


LineNo. Expression -1-: 747 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T10
0 Covered T1,T2,T3


LineNo. Expression -1-: 775 (rsp_fifo_rdata.intg_ecc_en) ?

Branches:
-1-StatusTests
1 Covered T10,T4,T16
0 Covered T1,T2,T3


LineNo. Expression -1-: 167 (((|buf_invalid_alloc) | all_buf_dependency)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T10


LineNo. Expression -1-: 257 if ((!rst_ni)) -2-: 259 if (idle_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T3,T10


LineNo. Expression -1-: 360 if ((!rst_ni)) -2-: 364 if (rd_start) -3-: 371 if (rd_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T3,T10
0 0 1 Covered T1,T3,T10
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 600 if ((!rst_ni)) -2-: 602 if (calc_req_start) -3-: 604 if (calc_req_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T10,T4,T16
0 0 1 Covered T10,T4,T16
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 674 if (buf_rsp_match[i])

Branches:
-1-StatusTests
1 Covered T1,T3,T10
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 11 11 100.00 11 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 11 11 100.00 11 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
BufferMatchEcc_A 391974888 603624 0 0
ExclusiveOps_A 391974888 391096603 0 0
ExclusiveProgHazard_A 391974888 391096603 0 0
ExclusiveState_A 391974888 391096603 0 0
ForwardCheck_A 391974888 1858695 0 0
IdleCheck_A 391974888 48535176 0 0
MaxBufs_A 1046 1046 0 0
OneHotAlloc_A 391974888 391096603 0 0
OneHotMatch_A 391974888 391096603 0 0
OneHotRspMatch_A 391974888 391096603 0 0
OneHotUpdate_A 391974888 391096603 0 0


BufferMatchEcc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391974888 603624 0 0
T1 146686 62 0 0
T2 172630 0 0 0
T3 3868 15 0 0
T4 440 0 0 0
T5 0 692 0 0
T10 844142 1024 0 0
T11 1471 0 0 0
T12 4163 0 0 0
T15 195171 0 0 0
T16 385260 0 0 0
T17 902 0 0 0
T19 0 692 0 0
T26 0 5220 0 0
T27 0 7186 0 0
T28 0 7367 0 0
T38 0 893 0 0
T56 0 512 0 0

ExclusiveOps_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391974888 391096603 0 0
T1 146686 146594 0 0
T2 172630 172540 0 0
T3 3868 3813 0 0
T4 440 388 0 0
T10 844142 844118 0 0
T11 1471 1278 0 0
T12 4163 3501 0 0
T15 195171 195098 0 0
T16 385260 385248 0 0
T17 902 843 0 0

ExclusiveProgHazard_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391974888 391096603 0 0
T1 146686 146594 0 0
T2 172630 172540 0 0
T3 3868 3813 0 0
T4 440 388 0 0
T10 844142 844118 0 0
T11 1471 1278 0 0
T12 4163 3501 0 0
T15 195171 195098 0 0
T16 385260 385248 0 0
T17 902 843 0 0

ExclusiveState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391974888 391096603 0 0
T1 146686 146594 0 0
T2 172630 172540 0 0
T3 3868 3813 0 0
T4 440 388 0 0
T10 844142 844118 0 0
T11 1471 1278 0 0
T12 4163 3501 0 0
T15 195171 195098 0 0
T16 385260 385248 0 0
T17 902 843 0 0

ForwardCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391974888 1858695 0 0
T1 146686 81 0 0
T2 172630 0 0 0
T3 3868 19 0 0
T4 440 0 0 0
T5 0 9576 0 0
T6 0 8011 0 0
T10 844142 1024 0 0
T11 1471 0 0 0
T12 4163 0 0 0
T15 195171 0 0 0
T16 385260 0 0 0
T17 902 0 0 0
T26 0 14208 0 0
T27 0 1045 0 0
T28 0 15620 0 0
T56 0 512 0 0
T58 0 6 0 0

IdleCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391974888 48535176 0 0
T1 146686 224 0 0
T2 172630 0 0 0
T3 3868 53 0 0
T4 440 0 0 0
T5 0 19844 0 0
T6 0 408561 0 0
T10 844142 105164 0 0
T11 1471 0 0 0
T12 4163 0 0 0
T15 195171 0 0 0
T16 385260 524288 0 0
T17 902 0 0 0
T19 0 3498 0 0
T26 0 729828 0 0
T38 0 36011 0 0
T56 0 525824 0 0

MaxBufs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1046 1046 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0

OneHotAlloc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391974888 391096603 0 0
T1 146686 146594 0 0
T2 172630 172540 0 0
T3 3868 3813 0 0
T4 440 388 0 0
T10 844142 844118 0 0
T11 1471 1278 0 0
T12 4163 3501 0 0
T15 195171 195098 0 0
T16 385260 385248 0 0
T17 902 843 0 0

OneHotMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391974888 391096603 0 0
T1 146686 146594 0 0
T2 172630 172540 0 0
T3 3868 3813 0 0
T4 440 388 0 0
T10 844142 844118 0 0
T11 1471 1278 0 0
T12 4163 3501 0 0
T15 195171 195098 0 0
T16 385260 385248 0 0
T17 902 843 0 0

OneHotRspMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391974888 391096603 0 0
T1 146686 146594 0 0
T2 172630 172540 0 0
T3 3868 3813 0 0
T4 440 388 0 0
T10 844142 844118 0 0
T11 1471 1278 0 0
T12 4163 3501 0 0
T15 195171 195098 0 0
T16 385260 385248 0 0
T17 902 843 0 0

OneHotUpdate_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391974888 391096603 0 0
T1 146686 146594 0 0
T2 172630 172540 0 0
T3 3868 3813 0 0
T4 440 388 0 0
T10 844142 844118 0 0
T11 1471 1278 0 0
T12 4163 3501 0 0
T15 195171 195098 0 0
T16 385260 385248 0 0
T17 902 843 0 0

Line Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd
Line No.TotalCoveredPercent
TOTAL133133100.00
CONT_ASSIGN13711100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN15211100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN18611100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22911100.00
CONT_ASSIGN23211100.00
ALWAYS25744100.00
CONT_ASSIGN29111100.00
CONT_ASSIGN29211100.00
CONT_ASSIGN30211100.00
CONT_ASSIGN30511100.00
CONT_ASSIGN30811100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN33111100.00
ALWAYS3601212100.00
CONT_ASSIGN37711100.00
CONT_ASSIGN38211100.00
CONT_ASSIGN39311100.00
CONT_ASSIGN39911100.00
CONT_ASSIGN40711100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN44211100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN45111100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN45911100.00
CONT_ASSIGN49111100.00
CONT_ASSIGN49411100.00
CONT_ASSIGN49711100.00
CONT_ASSIGN50111100.00
CONT_ASSIGN50311100.00
CONT_ASSIGN50411100.00
CONT_ASSIGN50511100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN52111100.00
CONT_ASSIGN52311100.00
CONT_ASSIGN59711100.00
CONT_ASSIGN59811100.00
ALWAYS60066100.00
CONT_ASSIGN61011100.00
CONT_ASSIGN61411100.00
CONT_ASSIGN61711100.00
CONT_ASSIGN62411100.00
CONT_ASSIGN62811100.00
CONT_ASSIGN63611100.00
CONT_ASSIGN65411100.00
CONT_ASSIGN65911100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
ALWAYS67088100.00
CONT_ASSIGN68311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN73611100.00
CONT_ASSIGN73811100.00
CONT_ASSIGN74411100.00
CONT_ASSIGN74511100.00
CONT_ASSIGN74711100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN76211100.00
CONT_ASSIGN77511100.00
CONT_ASSIGN78711100.00
CONT_ASSIGN79011100.00
CONT_ASSIGN79411100.00
CONT_ASSIGN79711100.00
CONT_ASSIGN80011100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
137 1 1
140 4 4
141 4 4
146 4 4
152 1 1
154 3 3
186 1 1
193 4 4
194 4 4
196 4 4
212 4 4
218 4 4
222 4 4
229 1 1
232 1 1
257 1 1
258 1 1
259 1 1
260 1 1
MISSING_ELSE
291 1 1
292 1 1
302 1 1
305 1 1
308 1 1
326 1 1
331 1 1
360 1 1
361 1 1
362 1 1
363 1 1
364 1 1
365 1 1
366 1 1
367 1 1
368 1 1
369 1 1
371 1 1
372 1 1
MISSING_ELSE
377 1 1
382 1 1
393 1 1
399 1 1
407 1 1
428 1 1
432 1 1
442 1 1
445 1 1
451 1 1
456 1 1
459 1 1
491 1 1
494 1 1
497 1 1
501 1 1
503 1 1
504 1 1
505 1 1
513 1 1
521 1 1
523 1 1
597 1 1
598 1 1
600 1 1
601 1 1
602 1 1
603 1 1
604 1 1
605 1 1
MISSING_ELSE
610 1 1
614 1 1
617 1 1
624 1 1
628 1 1
636 1 1
654 1 1
659 1 1
664 4 4
670 1 1
671 1 1
672 1 1
673 1 1
674 1 1
675 1 1
676 1 1
677 1 1
MISSING_ELSE
683 1 1
704 1 1
724 1 1
736 1 1
738 1 1
744 1 1
745 1 1
747 1 1
751 1 1
762 1 1
775 1 1
787 1 1
790 1 1
794 1 1
797 1 1
800 1 1


Cond Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd
TotalCoveredPercent
Conditions45741691.03
Logical45741691.03
Non-Logical00
Event00

This module contains a very large number of conditions, so the report has been split into multiple pages, by source line number. Click on the line number range in the table below to see the condition coverage for that section of the module.
Line numbersPercent
140-79091.13
790-79483.33

Branch Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd
Line No.TotalCoveredPercent
Branches 43 43 100.00
TERNARY 186 2 2 100.00
TERNARY 232 2 2 100.00
TERNARY 302 2 2 100.00
TERNARY 451 2 2 100.00
TERNARY 513 3 3 100.00
TERNARY 624 3 3 100.00
TERNARY 628 3 3 100.00
TERNARY 654 3 3 100.00
TERNARY 683 2 2 100.00
TERNARY 736 2 2 100.00
TERNARY 747 2 2 100.00
TERNARY 775 2 2 100.00
TERNARY 167 2 2 100.00
IF 257 3 3 100.00
IF 360 4 4 100.00
IF 600 4 4 100.00
IF 674 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 186 ((|buf_invalid_alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 232 (no_match) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 302 ((|alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 451 ((data_err | ecc_single_err_o)) ?

Branches:
-1-StatusTests
1 Covered T47,T38,T19
0 Covered T1,T2,T3


LineNo. Expression -1-: 513 (hint_descram) ? -2-: 513 (hint_dropmsk) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T4,T5,T58
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 624 (forward) ? -2-: 624 (hint_descram) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 628 (forward) ? -2-: 628 ((~hint_forward)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 654 (forward) ? -2-: 654 (((~hint_forward) & fifo_data_ready)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 683 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 736 (data_err_o) ?

Branches:
-1-StatusTests
1 Covered T11,T61,T47
0 Covered T1,T2,T3


LineNo. Expression -1-: 747 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 775 (rsp_fifo_rdata.intg_ecc_en) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 167 (((|buf_invalid_alloc) | all_buf_dependency)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 257 if ((!rst_ni)) -2-: 259 if (idle_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 360 if ((!rst_ni)) -2-: 364 if (rd_start) -3-: 371 if (rd_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 600 if ((!rst_ni)) -2-: 602 if (calc_req_start) -3-: 604 if (calc_req_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 674 if (buf_rsp_match[i])

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 11 11 100.00 11 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 11 11 100.00 11 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
BufferMatchEcc_A 391974888 934506 0 0
ExclusiveOps_A 391974888 391096603 0 0
ExclusiveProgHazard_A 391974888 391096603 0 0
ExclusiveState_A 391974888 391096603 0 0
ForwardCheck_A 391974888 2508855 0 0
IdleCheck_A 391974888 52393002 0 0
MaxBufs_A 1046 1046 0 0
OneHotAlloc_A 391974888 391096603 0 0
OneHotMatch_A 391974888 391096603 0 0
OneHotRspMatch_A 391974888 391096603 0 0
OneHotUpdate_A 391974888 391096603 0 0


BufferMatchEcc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391974888 934506 0 0
T1 146686 58 0 0
T2 172630 512 0 0
T3 3868 17 0 0
T4 440 0 0 0
T5 0 1356 0 0
T10 844142 4096 0 0
T11 1471 0 0 0
T12 4163 0 0 0
T15 195171 0 0 0
T16 385260 0 0 0
T17 902 0 0 0
T19 0 849 0 0
T26 0 6847 0 0
T38 0 1944 0 0
T56 0 2048 0 0
T57 0 2388 0 0

ExclusiveOps_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391974888 391096603 0 0
T1 146686 146594 0 0
T2 172630 172540 0 0
T3 3868 3813 0 0
T4 440 388 0 0
T10 844142 844118 0 0
T11 1471 1278 0 0
T12 4163 3501 0 0
T15 195171 195098 0 0
T16 385260 385248 0 0
T17 902 843 0 0

ExclusiveProgHazard_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391974888 391096603 0 0
T1 146686 146594 0 0
T2 172630 172540 0 0
T3 3868 3813 0 0
T4 440 388 0 0
T10 844142 844118 0 0
T11 1471 1278 0 0
T12 4163 3501 0 0
T15 195171 195098 0 0
T16 385260 385248 0 0
T17 902 843 0 0

ExclusiveState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391974888 391096603 0 0
T1 146686 146594 0 0
T2 172630 172540 0 0
T3 3868 3813 0 0
T4 440 388 0 0
T10 844142 844118 0 0
T11 1471 1278 0 0
T12 4163 3501 0 0
T15 195171 195098 0 0
T16 385260 385248 0 0
T17 902 843 0 0

ForwardCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391974888 2508855 0 0
T1 146686 68 0 0
T2 172630 288 0 0
T3 3868 18 0 0
T4 440 0 0 0
T5 0 10010 0 0
T6 0 8020 0 0
T10 844142 4096 0 0
T11 1471 0 0 0
T12 4163 0 0 0
T15 195171 0 0 0
T16 385260 0 0 0
T17 902 0 0 0
T26 0 15747 0 0
T35 0 32 0 0
T56 0 2048 0 0
T58 0 6 0 0

IdleCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391974888 52393002 0 0
T1 146686 322 0 0
T2 172630 2112 0 0
T3 3868 181 0 0
T4 440 128 0 0
T10 844142 107353 0 0
T11 1471 268 0 0
T12 4163 800 0 0
T15 195171 128 0 0
T16 385260 530688 0 0
T17 902 128 0 0

MaxBufs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1046 1046 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0

OneHotAlloc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391974888 391096603 0 0
T1 146686 146594 0 0
T2 172630 172540 0 0
T3 3868 3813 0 0
T4 440 388 0 0
T10 844142 844118 0 0
T11 1471 1278 0 0
T12 4163 3501 0 0
T15 195171 195098 0 0
T16 385260 385248 0 0
T17 902 843 0 0

OneHotMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391974888 391096603 0 0
T1 146686 146594 0 0
T2 172630 172540 0 0
T3 3868 3813 0 0
T4 440 388 0 0
T10 844142 844118 0 0
T11 1471 1278 0 0
T12 4163 3501 0 0
T15 195171 195098 0 0
T16 385260 385248 0 0
T17 902 843 0 0

OneHotRspMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391974888 391096603 0 0
T1 146686 146594 0 0
T2 172630 172540 0 0
T3 3868 3813 0 0
T4 440 388 0 0
T10 844142 844118 0 0
T11 1471 1278 0 0
T12 4163 3501 0 0
T15 195171 195098 0 0
T16 385260 385248 0 0
T17 902 843 0 0

OneHotUpdate_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391974888 391096603 0 0
T1 146686 146594 0 0
T2 172630 172540 0 0
T3 3868 3813 0 0
T4 440 388 0 0
T10 844142 844118 0 0
T11 1471 1278 0 0
T12 4163 3501 0 0
T15 195171 195098 0 0
T16 385260 385248 0 0
T17 902 843 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%