Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_erase

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
92.22 100.00 88.89 100.00 80.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
92.22 100.00 88.89 100.00 80.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
95.79 97.75 87.74 100.00 93.48 100.00 gen_flash_cores[0].u_core


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_erase

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
92.22 100.00 88.89 100.00 80.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
92.22 100.00 88.89 100.00 80.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
94.76 96.63 85.85 100.00 91.30 100.00 gen_flash_cores[1].u_core


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children

Line Coverage for Module : flash_phy_erase
Line No.TotalCoveredPercent
TOTAL2323100.00
ALWAYS3933100.00
ALWAYS471717100.00
CONT_ASSIGN8311100.00
CONT_ASSIGN8411100.00
CONT_ASSIGN8511100.00

38 always_ff @(posedge clk_i or negedge rst_ni) begin 39 1/1 if (!rst_ni) begin Tests: T1 T2 T3  40 1/1 state_q <= StEraseIdle; Tests: T1 T2 T3  41 end else begin 42 1/1 state_q <= state_d; Tests: T1 T2 T3  43 end 44 end 45 46 always_comb begin 47 1/1 req_valid = 1'b0; Tests: T1 T2 T3  48 1/1 suspend_valid = 1'b0; Tests: T1 T2 T3  49 1/1 ack_o = 1'b0; Tests: T1 T2 T3  50 1/1 state_d = state_q; Tests: T1 T2 T3  51 52 1/1 unique case (state_q) Tests: T1 T2 T3  53 StEraseIdle: begin 54 1/1 req_valid = 1'b1; Tests: T1 T2 T3  55 56 1/1 if ((pg_erase_req_o || bk_erase_req_o) && ack_i) begin Tests: T1 T2 T3  57 1/1 state_d = StEraseBusy; Tests: T9 T27 T28  58 end MISSING_ELSE 59 end 60 61 StEraseBusy: begin 62 1/1 suspend_valid = '1; Tests: T9 T27 T28  63 64 1/1 if (suspend_req_i && ack_i) begin Tests: T9 T27 T28  65 1/1 state_d = StEraseSuspend; Tests: T35 T67 T68  66 1/1 end else if (done_i) begin Tests: T9 T27 T28  67 1/1 ack_o = 1'b1; Tests: T9 T27 T28  68 1/1 state_d = StEraseIdle; Tests: T9 T27 T28  69 end MISSING_ELSE 70 end 71 72 StEraseSuspend: begin 73 1/1 if (done_i) begin Tests: T35 T67 T68  74 1/1 ack_o = 1'b1; Tests: T35 T67 T68  75 1/1 state_d = StEraseIdle; Tests: T35 T67 T68  76 end ==> MISSING_ELSE 77 end 78 79 default:; 80 endcase // unique case (state_q) 81 end 82 83 1/1 assign pg_erase_req_o = pg_erase_req_i & req_valid; Tests: T1 T2 T3  84 1/1 assign bk_erase_req_o = bk_erase_req_i & req_valid; Tests: T1 T2 T3  85 1/1 assign suspend_req_o = suspend_req_i & suspend_valid; Tests: T1 T2 T3 

Cond Coverage for Module : flash_phy_erase
TotalCoveredPercent
Conditions181688.89
Logical181688.89
Non-Logical00
Event00

 LINE       56
 EXPRESSION ((pg_erase_req_o || bk_erase_req_o) && ack_i)
             -----------------1----------------    --2--
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT9,T27,T28

 LINE       56
 SUB-EXPRESSION (pg_erase_req_o || bk_erase_req_o)
                 -------1------    -------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT29,T30,T31
10CoveredT9,T27,T28

 LINE       64
 EXPRESSION (suspend_req_i && ack_i)
             ------1------    --2--
-1--2-StatusTests
01CoveredT9,T27,T28
10Not Covered
11CoveredT35,T67,T68

 LINE       83
 EXPRESSION (pg_erase_req_i & req_valid)
             -------1------   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT9,T27,T28
11CoveredT9,T27,T28

 LINE       84
 EXPRESSION (bk_erase_req_i & req_valid)
             -------1------   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT29,T30,T31
11CoveredT29,T30,T31

 LINE       85
 EXPRESSION (suspend_req_i & suspend_valid)
             ------1------   ------2------
-1--2-StatusTests
01CoveredT9,T27,T28
10CoveredT35,T67,T68
11CoveredT35,T67,T68

FSM Coverage for Module : flash_phy_erase
Summary for FSM :: state_q
TotalCoveredPercent
States 3 3 100.00 (Not included in score)
Transitions 4 4 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
StEraseBusy 57 Covered T9,T27,T28
StEraseIdle 68 Covered T1,T2,T3
StEraseSuspend 65 Covered T35,T67,T68


transitionsLine No.CoveredTests
StEraseBusy->StEraseIdle 68 Covered T9,T27,T28
StEraseBusy->StEraseSuspend 65 Covered T35,T67,T68
StEraseIdle->StEraseBusy 57 Covered T9,T27,T28
StEraseSuspend->StEraseIdle 75 Covered T35,T67,T68



Branch Coverage for Module : flash_phy_erase
Line No.TotalCoveredPercent
Branches 10 8 80.00
IF 39 2 2 100.00
CASE 52 8 6 75.00


39 if (!rst_ni) begin -1- 40 state_q <= StEraseIdle; ==> 41 end else begin 42 state_q <= state_d; ==>

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


52 unique case (state_q) -1- 53 StEraseIdle: begin 54 req_valid = 1'b1; 55 56 if ((pg_erase_req_o || bk_erase_req_o) && ack_i) begin -2- 57 state_d = StEraseBusy; ==> 58 end MISSING_ELSE ==> 59 end 60 61 StEraseBusy: begin 62 suspend_valid = '1; 63 64 if (suspend_req_i && ack_i) begin -3- 65 state_d = StEraseSuspend; ==> 66 end else if (done_i) begin -4- 67 ack_o = 1'b1; ==> 68 state_d = StEraseIdle; 69 end MISSING_ELSE ==> 70 end 71 72 StEraseSuspend: begin 73 if (done_i) begin -5- 74 ack_o = 1'b1; ==> 75 state_d = StEraseIdle; 76 end MISSING_ELSE ==> 77 end 78 79 default:; ==>

Branches:
-1--2--3--4--5-StatusTests
StEraseIdle 1 - - - Covered T9,T27,T28
StEraseIdle 0 - - - Covered T1,T2,T3
StEraseBusy - 1 - - Covered T35,T67,T68
StEraseBusy - 0 1 - Covered T9,T27,T28
StEraseBusy - 0 0 - Covered T9,T27,T28
StEraseSuspend - - - 1 Covered T35,T67,T68
StEraseSuspend - - - 0 Not Covered
default - - - - Not Covered

Line Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_erase
Line No.TotalCoveredPercent
TOTAL2323100.00
ALWAYS3933100.00
ALWAYS471717100.00
CONT_ASSIGN8311100.00
CONT_ASSIGN8411100.00
CONT_ASSIGN8511100.00

38 always_ff @(posedge clk_i or negedge rst_ni) begin 39 1/1 if (!rst_ni) begin Tests: T1 T2 T3  40 1/1 state_q <= StEraseIdle; Tests: T1 T2 T3  41 end else begin 42 1/1 state_q <= state_d; Tests: T1 T2 T3  43 end 44 end 45 46 always_comb begin 47 1/1 req_valid = 1'b0; Tests: T1 T2 T3  48 1/1 suspend_valid = 1'b0; Tests: T1 T2 T3  49 1/1 ack_o = 1'b0; Tests: T1 T2 T3  50 1/1 state_d = state_q; Tests: T1 T2 T3  51 52 1/1 unique case (state_q) Tests: T1 T2 T3  53 StEraseIdle: begin 54 1/1 req_valid = 1'b1; Tests: T1 T2 T3  55 56 1/1 if ((pg_erase_req_o || bk_erase_req_o) && ack_i) begin Tests: T1 T2 T3  57 1/1 state_d = StEraseBusy; Tests: T9 T27 T28  58 end MISSING_ELSE 59 end 60 61 StEraseBusy: begin 62 1/1 suspend_valid = '1; Tests: T9 T27 T28  63 64 1/1 if (suspend_req_i && ack_i) begin Tests: T9 T27 T28  65 1/1 state_d = StEraseSuspend; Tests: T67 T69 T70  66 1/1 end else if (done_i) begin Tests: T9 T27 T28  67 1/1 ack_o = 1'b1; Tests: T9 T27 T28  68 1/1 state_d = StEraseIdle; Tests: T9 T27 T28  69 end MISSING_ELSE 70 end 71 72 StEraseSuspend: begin 73 1/1 if (done_i) begin Tests: T67 T69 T70  74 1/1 ack_o = 1'b1; Tests: T67 T69 T70  75 1/1 state_d = StEraseIdle; Tests: T67 T69 T70  76 end ==> MISSING_ELSE 77 end 78 79 default:; 80 endcase // unique case (state_q) 81 end 82 83 1/1 assign pg_erase_req_o = pg_erase_req_i & req_valid; Tests: T1 T2 T3  84 1/1 assign bk_erase_req_o = bk_erase_req_i & req_valid; Tests: T1 T2 T3  85 1/1 assign suspend_req_o = suspend_req_i & suspend_valid; Tests: T1 T2 T3 

Cond Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_erase
TotalCoveredPercent
Conditions181688.89
Logical181688.89
Non-Logical00
Event00

 LINE       56
 EXPRESSION ((pg_erase_req_o || bk_erase_req_o) && ack_i)
             -----------------1----------------    --2--
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT9,T27,T28

 LINE       56
 SUB-EXPRESSION (pg_erase_req_o || bk_erase_req_o)
                 -------1------    -------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT30,T31,T32
10CoveredT9,T27,T28

 LINE       64
 EXPRESSION (suspend_req_i && ack_i)
             ------1------    --2--
-1--2-StatusTests
01CoveredT9,T27,T28
10Not Covered
11CoveredT67,T69,T70

 LINE       83
 EXPRESSION (pg_erase_req_i & req_valid)
             -------1------   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT9,T27,T28
11CoveredT9,T27,T28

 LINE       84
 EXPRESSION (bk_erase_req_i & req_valid)
             -------1------   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT30,T31,T32
11CoveredT30,T31,T32

 LINE       85
 EXPRESSION (suspend_req_i & suspend_valid)
             ------1------   ------2------
-1--2-StatusTests
01CoveredT9,T27,T28
10CoveredT35,T67,T68
11CoveredT67,T69,T70

FSM Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_erase
Summary for FSM :: state_q
TotalCoveredPercent
States 3 3 100.00 (Not included in score)
Transitions 4 4 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
StEraseBusy 57 Covered T9,T27,T28
StEraseIdle 68 Covered T1,T2,T3
StEraseSuspend 65 Covered T67,T69,T70


transitionsLine No.CoveredTests
StEraseBusy->StEraseIdle 68 Covered T9,T27,T28
StEraseBusy->StEraseSuspend 65 Covered T67,T69,T70
StEraseIdle->StEraseBusy 57 Covered T9,T27,T28
StEraseSuspend->StEraseIdle 75 Covered T67,T69,T70



Branch Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_erase
Line No.TotalCoveredPercent
Branches 10 8 80.00
IF 39 2 2 100.00
CASE 52 8 6 75.00


39 if (!rst_ni) begin -1- 40 state_q <= StEraseIdle; ==> 41 end else begin 42 state_q <= state_d; ==>

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


52 unique case (state_q) -1- 53 StEraseIdle: begin 54 req_valid = 1'b1; 55 56 if ((pg_erase_req_o || bk_erase_req_o) && ack_i) begin -2- 57 state_d = StEraseBusy; ==> 58 end MISSING_ELSE ==> 59 end 60 61 StEraseBusy: begin 62 suspend_valid = '1; 63 64 if (suspend_req_i && ack_i) begin -3- 65 state_d = StEraseSuspend; ==> 66 end else if (done_i) begin -4- 67 ack_o = 1'b1; ==> 68 state_d = StEraseIdle; 69 end MISSING_ELSE ==> 70 end 71 72 StEraseSuspend: begin 73 if (done_i) begin -5- 74 ack_o = 1'b1; ==> 75 state_d = StEraseIdle; 76 end MISSING_ELSE ==> 77 end 78 79 default:; ==>

Branches:
-1--2--3--4--5-StatusTests
StEraseIdle 1 - - - Covered T9,T27,T28
StEraseIdle 0 - - - Covered T1,T2,T3
StEraseBusy - 1 - - Covered T67,T69,T70
StEraseBusy - 0 1 - Covered T9,T27,T28
StEraseBusy - 0 0 - Covered T9,T27,T28
StEraseSuspend - - - 1 Covered T67,T69,T70
StEraseSuspend - - - 0 Not Covered
default - - - - Not Covered

Line Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_erase
Line No.TotalCoveredPercent
TOTAL2323100.00
ALWAYS3933100.00
ALWAYS471717100.00
CONT_ASSIGN8311100.00
CONT_ASSIGN8411100.00
CONT_ASSIGN8511100.00

38 always_ff @(posedge clk_i or negedge rst_ni) begin 39 1/1 if (!rst_ni) begin Tests: T1 T2 T3  40 1/1 state_q <= StEraseIdle; Tests: T1 T2 T3  41 end else begin 42 1/1 state_q <= state_d; Tests: T1 T2 T3  43 end 44 end 45 46 always_comb begin 47 1/1 req_valid = 1'b0; Tests: T1 T2 T3  48 1/1 suspend_valid = 1'b0; Tests: T1 T2 T3  49 1/1 ack_o = 1'b0; Tests: T1 T2 T3  50 1/1 state_d = state_q; Tests: T1 T2 T3  51 52 1/1 unique case (state_q) Tests: T1 T2 T3  53 StEraseIdle: begin 54 1/1 req_valid = 1'b1; Tests: T1 T2 T3  55 56 1/1 if ((pg_erase_req_o || bk_erase_req_o) && ack_i) begin Tests: T1 T2 T3  57 1/1 state_d = StEraseBusy; Tests: T27 T28 T35  58 end MISSING_ELSE 59 end 60 61 StEraseBusy: begin 62 1/1 suspend_valid = '1; Tests: T27 T28 T35  63 64 1/1 if (suspend_req_i && ack_i) begin Tests: T27 T28 T35  65 1/1 state_d = StEraseSuspend; Tests: T35 T68 T71  66 1/1 end else if (done_i) begin Tests: T27 T28 T35  67 1/1 ack_o = 1'b1; Tests: T27 T28 T35  68 1/1 state_d = StEraseIdle; Tests: T27 T28 T35  69 end MISSING_ELSE 70 end 71 72 StEraseSuspend: begin 73 1/1 if (done_i) begin Tests: T35 T68 T71  74 1/1 ack_o = 1'b1; Tests: T35 T68 T71  75 1/1 state_d = StEraseIdle; Tests: T35 T68 T71  76 end ==> MISSING_ELSE 77 end 78 79 default:; 80 endcase // unique case (state_q) 81 end 82 83 1/1 assign pg_erase_req_o = pg_erase_req_i & req_valid; Tests: T1 T2 T3  84 1/1 assign bk_erase_req_o = bk_erase_req_i & req_valid; Tests: T1 T2 T3  85 1/1 assign suspend_req_o = suspend_req_i & suspend_valid; Tests: T1 T2 T3 

Cond Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_erase
TotalCoveredPercent
Conditions181688.89
Logical181688.89
Non-Logical00
Event00

 LINE       56
 EXPRESSION ((pg_erase_req_o || bk_erase_req_o) && ack_i)
             -----------------1----------------    --2--
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT27,T28,T35

 LINE       56
 SUB-EXPRESSION (pg_erase_req_o || bk_erase_req_o)
                 -------1------    -------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT29,T31,T32
10CoveredT27,T28,T35

 LINE       64
 EXPRESSION (suspend_req_i && ack_i)
             ------1------    --2--
-1--2-StatusTests
01CoveredT27,T28,T35
10Not Covered
11CoveredT35,T68,T71

 LINE       83
 EXPRESSION (pg_erase_req_i & req_valid)
             -------1------   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT27,T28,T35
11CoveredT27,T28,T35

 LINE       84
 EXPRESSION (bk_erase_req_i & req_valid)
             -------1------   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT29,T31,T32
11CoveredT29,T31,T32

 LINE       85
 EXPRESSION (suspend_req_i & suspend_valid)
             ------1------   ------2------
-1--2-StatusTests
01CoveredT27,T28,T35
10CoveredT35,T67,T68
11CoveredT35,T68,T71

FSM Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_erase
Summary for FSM :: state_q
TotalCoveredPercent
States 3 3 100.00 (Not included in score)
Transitions 4 4 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
StEraseBusy 57 Covered T27,T28,T35
StEraseIdle 68 Covered T1,T2,T3
StEraseSuspend 65 Covered T35,T68,T71


transitionsLine No.CoveredTests
StEraseBusy->StEraseIdle 68 Covered T27,T28,T35
StEraseBusy->StEraseSuspend 65 Covered T35,T68,T71
StEraseIdle->StEraseBusy 57 Covered T27,T28,T35
StEraseSuspend->StEraseIdle 75 Covered T35,T68,T71



Branch Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_erase
Line No.TotalCoveredPercent
Branches 10 8 80.00
IF 39 2 2 100.00
CASE 52 8 6 75.00


39 if (!rst_ni) begin -1- 40 state_q <= StEraseIdle; ==> 41 end else begin 42 state_q <= state_d; ==>

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


52 unique case (state_q) -1- 53 StEraseIdle: begin 54 req_valid = 1'b1; 55 56 if ((pg_erase_req_o || bk_erase_req_o) && ack_i) begin -2- 57 state_d = StEraseBusy; ==> 58 end MISSING_ELSE ==> 59 end 60 61 StEraseBusy: begin 62 suspend_valid = '1; 63 64 if (suspend_req_i && ack_i) begin -3- 65 state_d = StEraseSuspend; ==> 66 end else if (done_i) begin -4- 67 ack_o = 1'b1; ==> 68 state_d = StEraseIdle; 69 end MISSING_ELSE ==> 70 end 71 72 StEraseSuspend: begin 73 if (done_i) begin -5- 74 ack_o = 1'b1; ==> 75 state_d = StEraseIdle; 76 end MISSING_ELSE ==> 77 end 78 79 default:; ==>

Branches:
-1--2--3--4--5-StatusTests
StEraseIdle 1 - - - Covered T27,T28,T35
StEraseIdle 0 - - - Covered T1,T2,T3
StEraseBusy - 1 - - Covered T35,T68,T71
StEraseBusy - 0 1 - Covered T27,T28,T35
StEraseBusy - 0 0 - Covered T27,T28,T35
StEraseSuspend - - - 1 Covered T35,T68,T71
StEraseSuspend - - - 0 Not Covered
default - - - - Not Covered

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%