dashboard | hierarchy | modlist | groups | tests | asserts

Module Instance : tb.dut.u_sw_rd_fifo

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
94.79 100.00 79.17 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
93.67 95.12 88.64 90.91 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
94.85 97.12 94.40 98.44 100.00 84.29 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_normal_fifo.u_fifo_cnt 92.40 92.59 100.00 84.62


Module Instance : tb.dut.u_tl_adapter_eflash.u_reqfifo

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
92.19 100.00 68.75 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
94.41 100.00 82.05 90.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
93.04 98.59 80.47 93.10 100.00 u_tl_adapter_eflash


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_normal_fifo.u_fifo_cnt 95.33 100.00 91.30 90.00 100.00


Module Instance : tb.dut.u_tl_adapter_eflash.u_sramreqfifo

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
92.19 100.00 68.75 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
94.41 100.00 82.05 90.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
93.04 98.59 80.47 93.10 100.00 u_tl_adapter_eflash


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_normal_fifo.u_fifo_cnt 95.33 100.00 91.30 90.00 100.00


Module Instance : tb.dut.u_tl_adapter_eflash.u_rspfifo

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
94.79 100.00 79.17 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.02 100.00 85.11 90.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
93.04 98.59 80.47 93.10 100.00 u_tl_adapter_eflash


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_normal_fifo.u_fifo_cnt 95.33 100.00 91.30 90.00 100.00


Module Instance : tb.dut.u_tl_adapter_eflash.gen_data_xor_addr_fifo.u_sramreqaddrfifo

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
92.19 100.00 68.75 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.53 100.00 86.11 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
93.04 98.59 80.47 93.10 100.00 u_tl_adapter_eflash


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_normal_fifo.u_fifo_cnt 100.00 100.00 100.00 100.00

Go back
Module Instances:
tb.dut.u_sw_rd_fifo
tb.dut.u_tl_adapter_eflash.u_reqfifo
tb.dut.u_tl_adapter_eflash.u_sramreqfifo
tb.dut.u_tl_adapter_eflash.u_rspfifo
tb.dut.u_tl_adapter_eflash.gen_data_xor_addr_fifo.u_sramreqaddrfifo
Line Coverage for Instance : tb.dut.u_sw_rd_fifo
Line No.TotalCoveredPercent
TOTAL1414100.00
ALWAYS6944100.00
CONT_ASSIGN8111100.00
CONT_ASSIGN8211100.00
CONT_ASSIGN10011100.00
CONT_ASSIGN10111100.00
CONT_ASSIGN12011100.00
ALWAYS12322100.00
CONT_ASSIGN13011100.00
CONT_ASSIGN13111100.00
CONT_ASSIGN13811100.00

68 always_ff @(posedge clk_i or negedge rst_ni) begin 69 1/1 if (!rst_ni) begin Tests: T1 T2 T3  70 1/1 under_rst <= 1'b1; Tests: T1 T2 T3  71 1/1 end else if (under_rst) begin Tests: T1 T2 T3  72 1/1 under_rst <= ~under_rst; Tests: T1 T2 T3  73 end MISSING_ELSE 74 end 75 76 logic empty; 77 78 // full and not ready for write are two different concepts. 79 // The latter can be '0' when under reset, while the former is an indication that no more 80 // entries can be written. 81 1/1 assign wready_o = ~full_o & ~under_rst; Tests: T1 T2 T3  82 1/1 assign rvalid_o = ~empty & ~under_rst; Tests: T1 T2 T3  83 84 prim_fifo_sync_cnt #( 85 .Depth(Depth), 86 .Secure(Secure) 87 ) u_fifo_cnt ( 88 .clk_i, 89 .rst_ni, 90 .clr_i, 91 .incr_wptr_i(fifo_incr_wptr), 92 .incr_rptr_i(fifo_incr_rptr), 93 .wptr_o(fifo_wptr), 94 .rptr_o(fifo_rptr), 95 .full_o, 96 .empty_o(fifo_empty), 97 .depth_o, 98 .err_o 99 ); 100 1/1 assign fifo_incr_wptr = wvalid_i & wready_o & ~under_rst; Tests: T1 T2 T3  101 1/1 assign fifo_incr_rptr = rvalid_o & rready_i & ~under_rst; Tests: T1 T2 T3  102 103 // the generate blocks below are needed to avoid lint errors due to array indexing 104 // in the where the fifo only has one storage element 105 logic [Depth-1:0][Width-1:0] storage; 106 logic [Width-1:0] storage_rdata; 107 if (Depth == 1) begin : gen_depth_eq1 108 assign storage_rdata = storage[0]; 109 110 always_ff @(posedge clk_i) 111 if (fifo_incr_wptr) begin 112 storage[0] <= wdata_i; 113 end 114 115 logic unused_ptrs; 116 assign unused_ptrs = ^{fifo_wptr, fifo_rptr}; 117 118 // fifo with more than one storage element 119 end else begin : gen_depth_gt1 120 1/1 assign storage_rdata = storage[fifo_rptr]; Tests: T1 T2 T3  121 122 always_ff @(posedge clk_i) 123 1/1 if (fifo_incr_wptr) begin Tests: T1 T2 T3  124 1/1 storage[fifo_wptr] <= wdata_i; Tests: T1 T3 T8  125 end MISSING_ELSE 126 end 127 128 logic [Width-1:0] rdata_int; 129 if (Pass == 1'b1) begin : gen_pass 130 1/1 assign rdata_int = (fifo_empty && wvalid_i) ? wdata_i : storage_rdata; Tests: T1 T2 T3  131 1/1 assign empty = fifo_empty & ~wvalid_i; Tests: T1 T2 T3  132 end else begin : gen_nopass 133 assign rdata_int = storage_rdata; 134 assign empty = fifo_empty; 135 end 136 137 if (OutputZeroIfEmpty == 1'b1) begin : gen_output_zero 138 1/1 assign rdata_o = empty ? Width'(0) : rdata_int; Tests: T1 T2 T3 

Cond Coverage for Instance : tb.dut.u_sw_rd_fifo
TotalCoveredPercent
Conditions241979.17
Logical241979.17
Non-Logical00
Event00

 LINE       81
 EXPRESSION (((~full_o)) & ((~gen_normal_fifo.under_rst)))
             -----1-----   ---------------2--------------
-1--2-StatusTests
01CoveredT42,T43,T44
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       82
 EXPRESSION (((~gen_normal_fifo.empty)) & ((~gen_normal_fifo.under_rst)))
             -------------1------------   ---------------2--------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T3,T8

 LINE       100
 EXPRESSION (wvalid_i & wready_o & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101Not Covered
110Not Covered
111CoveredT1,T3,T8

 LINE       101
 EXPRESSION (rvalid_o & rready_i & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T3,T8
110Not Covered
111CoveredT1,T3,T8

 LINE       130
 EXPRESSION ((gen_normal_fifo.fifo_empty && wvalid_i) ? wdata_i : gen_normal_fifo.storage_rdata)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T8

 LINE       130
 SUB-EXPRESSION (gen_normal_fifo.fifo_empty && wvalid_i)
                 -------------1------------    ----2---
-1--2-StatusTests
01CoveredT1,T3,T8
10CoveredT1,T2,T3
11CoveredT1,T3,T8

 LINE       131
 EXPRESSION (gen_normal_fifo.fifo_empty & ((~wvalid_i)))
             -------------1------------   ------2------
-1--2-StatusTests
01CoveredT1,T3,T8
10CoveredT1,T3,T8
11CoveredT1,T2,T3

 LINE       138
 EXPRESSION (gen_normal_fifo.empty ? (39'(0)) : gen_normal_fifo.rdata_int)
             ----------1----------
-1-StatusTests
0CoveredT1,T3,T8
1CoveredT1,T2,T3

Branch Coverage for Instance : tb.dut.u_sw_rd_fifo
Line No.TotalCoveredPercent
Branches 9 9 100.00
TERNARY 130 2 2 100.00
TERNARY 138 2 2 100.00
IF 69 3 3 100.00
IF 111 2 2 100.00


130 assign rdata_int = (fifo_empty && wvalid_i) ? wdata_i : storage_rdata; -1- ==> ==>

Branches:
-1-StatusTests
1 Covered T1,T3,T8
0 Covered T1,T2,T3


138 assign rdata_o = empty ? Width'(0) : rdata_int; -1- ==> ==>

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T8


69 if (!rst_ni) begin -1- 70 under_rst <= 1'b1; ==> 71 end else if (under_rst) begin -2- 72 under_rst <= ~under_rst; ==> 73 end MISSING_ELSE ==>

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


111 if (fifo_incr_wptr) begin -1- 112 storage[0] <= wdata_i; ==> 113 end MISSING_ELSE ==>

Branches:
-1-StatusTests
1 Covered T1,T3,T8
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_sw_rd_fifo
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 6 6 100.00 6 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 6 6 100.00 6 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataKnown_A 391176692 37175807 0 0
DataKnown_AKnownEnable 391176692 390364170 0 0
DepthKnown_A 391176692 390364170 0 0
RvalidKnown_A 391176692 390364170 0 0
WreadyKnown_A 391176692 390364170 0 0
gen_normal_fifo.depthShallNotExceedParamDepth 391176692 37175807 0 0


DataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 37175807 0 0
T1 2070 613 0 0
T2 3480 0 0 0
T3 1887 614 0 0
T4 4370 0 0 0
T5 677 0 0 0
T8 45213 12818 0 0
T9 1406 0 0 0
T10 2124 132 0 0
T11 0 6 0 0
T12 0 72 0 0
T16 1541 604 0 0
T17 1625 0 0 0
T25 0 964 0 0
T31 0 312 0 0
T61 0 146 0 0

DataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 390364170 0 0
T1 2070 1970 0 0
T2 3480 3381 0 0
T3 1887 1822 0 0
T4 4370 3912 0 0
T5 677 579 0 0
T8 45213 45151 0 0
T9 1406 1318 0 0
T10 2124 2046 0 0
T16 1541 1471 0 0
T17 1625 1542 0 0

DepthKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 390364170 0 0
T1 2070 1970 0 0
T2 3480 3381 0 0
T3 1887 1822 0 0
T4 4370 3912 0 0
T5 677 579 0 0
T8 45213 45151 0 0
T9 1406 1318 0 0
T10 2124 2046 0 0
T16 1541 1471 0 0
T17 1625 1542 0 0

RvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 390364170 0 0
T1 2070 1970 0 0
T2 3480 3381 0 0
T3 1887 1822 0 0
T4 4370 3912 0 0
T5 677 579 0 0
T8 45213 45151 0 0
T9 1406 1318 0 0
T10 2124 2046 0 0
T16 1541 1471 0 0
T17 1625 1542 0 0

WreadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 390364170 0 0
T1 2070 1970 0 0
T2 3480 3381 0 0
T3 1887 1822 0 0
T4 4370 3912 0 0
T5 677 579 0 0
T8 45213 45151 0 0
T9 1406 1318 0 0
T10 2124 2046 0 0
T16 1541 1471 0 0
T17 1625 1542 0 0

gen_normal_fifo.depthShallNotExceedParamDepth
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 37175807 0 0
T1 2070 613 0 0
T2 3480 0 0 0
T3 1887 614 0 0
T4 4370 0 0 0
T5 677 0 0 0
T8 45213 12818 0 0
T9 1406 0 0 0
T10 2124 132 0 0
T11 0 6 0 0
T12 0 72 0 0
T16 1541 604 0 0
T17 1625 0 0 0
T25 0 964 0 0
T31 0 312 0 0
T61 0 146 0 0

Line Coverage for Instance : tb.dut.u_tl_adapter_eflash.u_reqfifo
Line No.TotalCoveredPercent
TOTAL1414100.00
ALWAYS6944100.00
CONT_ASSIGN8111100.00
CONT_ASSIGN8211100.00
CONT_ASSIGN10011100.00
CONT_ASSIGN10111100.00
CONT_ASSIGN12011100.00
ALWAYS12322100.00
CONT_ASSIGN13311100.00
CONT_ASSIGN13411100.00
CONT_ASSIGN13811100.00

68 always_ff @(posedge clk_i or negedge rst_ni) begin 69 1/1 if (!rst_ni) begin Tests: T1 T2 T3  70 1/1 under_rst <= 1'b1; Tests: T1 T2 T3  71 1/1 end else if (under_rst) begin Tests: T1 T2 T3  72 1/1 under_rst <= ~under_rst; Tests: T1 T2 T3  73 end MISSING_ELSE 74 end 75 76 logic empty; 77 78 // full and not ready for write are two different concepts. 79 // The latter can be '0' when under reset, while the former is an indication that no more 80 // entries can be written. 81 1/1 assign wready_o = ~full_o & ~under_rst; Tests: T1 T2 T3  82 1/1 assign rvalid_o = ~empty & ~under_rst; Tests: T1 T2 T3  83 84 prim_fifo_sync_cnt #( 85 .Depth(Depth), 86 .Secure(Secure) 87 ) u_fifo_cnt ( 88 .clk_i, 89 .rst_ni, 90 .clr_i, 91 .incr_wptr_i(fifo_incr_wptr), 92 .incr_rptr_i(fifo_incr_rptr), 93 .wptr_o(fifo_wptr), 94 .rptr_o(fifo_rptr), 95 .full_o, 96 .empty_o(fifo_empty), 97 .depth_o, 98 .err_o 99 ); 100 1/1 assign fifo_incr_wptr = wvalid_i & wready_o & ~under_rst; Tests: T1 T2 T3  101 1/1 assign fifo_incr_rptr = rvalid_o & rready_i & ~under_rst; Tests: T1 T2 T3  102 103 // the generate blocks below are needed to avoid lint errors due to array indexing 104 // in the where the fifo only has one storage element 105 logic [Depth-1:0][Width-1:0] storage; 106 logic [Width-1:0] storage_rdata; 107 if (Depth == 1) begin : gen_depth_eq1 108 assign storage_rdata = storage[0]; 109 110 always_ff @(posedge clk_i) 111 if (fifo_incr_wptr) begin 112 storage[0] <= wdata_i; 113 end 114 115 logic unused_ptrs; 116 assign unused_ptrs = ^{fifo_wptr, fifo_rptr}; 117 118 // fifo with more than one storage element 119 end else begin : gen_depth_gt1 120 1/1 assign storage_rdata = storage[fifo_rptr]; Tests: T1 T2 T3  121 122 always_ff @(posedge clk_i) 123 1/1 if (fifo_incr_wptr) begin Tests: T1 T2 T3  124 1/1 storage[fifo_wptr] <= wdata_i; Tests: T5 T8 T9  125 end MISSING_ELSE 126 end 127 128 logic [Width-1:0] rdata_int; 129 if (Pass == 1'b1) begin : gen_pass 130 assign rdata_int = (fifo_empty && wvalid_i) ? wdata_i : storage_rdata; 131 assign empty = fifo_empty & ~wvalid_i; 132 end else begin : gen_nopass 133 1/1 assign rdata_int = storage_rdata; Tests: T5 T8 T9  134 1/1 assign empty = fifo_empty; Tests: T1 T2 T3  135 end 136 137 if (OutputZeroIfEmpty == 1'b1) begin : gen_output_zero 138 1/1 assign rdata_o = empty ? Width'(0) : rdata_int; Tests: T1 T2 T3 

Cond Coverage for Instance : tb.dut.u_tl_adapter_eflash.u_reqfifo
TotalCoveredPercent
Conditions161168.75
Logical161168.75
Non-Logical00
Event00

 LINE       81
 EXPRESSION (((~full_o)) & ((~gen_normal_fifo.under_rst)))
             -----1-----   ---------------2--------------
-1--2-StatusTests
01CoveredT8,T11,T12
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       82
 EXPRESSION (((~gen_normal_fifo.empty)) & ((~gen_normal_fifo.under_rst)))
             -------------1------------   ---------------2--------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT5,T8,T9

 LINE       100
 EXPRESSION (wvalid_i & wready_o & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101Not Covered
110Not Covered
111CoveredT5,T8,T9

 LINE       101
 EXPRESSION (rvalid_o & rready_i & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011Not Covered
101CoveredT5,T8,T9
110Not Covered
111CoveredT5,T8,T9

 LINE       138
 EXPRESSION (gen_normal_fifo.empty ? (17'(0)) : gen_normal_fifo.rdata_int)
             ----------1----------
-1-StatusTests
0CoveredT5,T8,T9
1CoveredT1,T2,T3

Branch Coverage for Instance : tb.dut.u_tl_adapter_eflash.u_reqfifo
Line No.TotalCoveredPercent
Branches 7 7 100.00
TERNARY 138 2 2 100.00
IF 69 3 3 100.00
IF 111 2 2 100.00


138 assign rdata_o = empty ? Width'(0) : rdata_int; -1- ==> ==>

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T5,T8,T9


69 if (!rst_ni) begin -1- 70 under_rst <= 1'b1; ==> 71 end else if (under_rst) begin -2- 72 under_rst <= ~under_rst; ==> 73 end MISSING_ELSE ==>

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


111 if (fifo_incr_wptr) begin -1- 112 storage[0] <= wdata_i; ==> 113 end MISSING_ELSE ==>

Branches:
-1-StatusTests
1 Covered T5,T8,T9
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_tl_adapter_eflash.u_reqfifo
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 6 6 100.00 6 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 6 6 100.00 6 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataKnown_A 391176692 32415875 0 0
DataKnown_AKnownEnable 391176692 390364170 0 0
DepthKnown_A 391176692 390364170 0 0
RvalidKnown_A 391176692 390364170 0 0
WreadyKnown_A 391176692 390364170 0 0
gen_normal_fifo.depthShallNotExceedParamDepth 391176692 32415875 0 0


DataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 32415875 0 0
T5 677 23 0 0
T6 1297 1 0 0
T8 45213 1667 0 0
T9 1406 15 0 0
T10 2124 0 0 0
T11 0 14 0 0
T12 0 582 0 0
T16 1541 0 0 0
T17 1625 0 0 0
T22 0 1436 0 0
T25 5313 62 0 0
T31 1776 20 0 0
T61 0 16 0 0
T62 1074 0 0 0

DataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 390364170 0 0
T1 2070 1970 0 0
T2 3480 3381 0 0
T3 1887 1822 0 0
T4 4370 3912 0 0
T5 677 579 0 0
T8 45213 45151 0 0
T9 1406 1318 0 0
T10 2124 2046 0 0
T16 1541 1471 0 0
T17 1625 1542 0 0

DepthKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 390364170 0 0
T1 2070 1970 0 0
T2 3480 3381 0 0
T3 1887 1822 0 0
T4 4370 3912 0 0
T5 677 579 0 0
T8 45213 45151 0 0
T9 1406 1318 0 0
T10 2124 2046 0 0
T16 1541 1471 0 0
T17 1625 1542 0 0

RvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 390364170 0 0
T1 2070 1970 0 0
T2 3480 3381 0 0
T3 1887 1822 0 0
T4 4370 3912 0 0
T5 677 579 0 0
T8 45213 45151 0 0
T9 1406 1318 0 0
T10 2124 2046 0 0
T16 1541 1471 0 0
T17 1625 1542 0 0

WreadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 390364170 0 0
T1 2070 1970 0 0
T2 3480 3381 0 0
T3 1887 1822 0 0
T4 4370 3912 0 0
T5 677 579 0 0
T8 45213 45151 0 0
T9 1406 1318 0 0
T10 2124 2046 0 0
T16 1541 1471 0 0
T17 1625 1542 0 0

gen_normal_fifo.depthShallNotExceedParamDepth
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 32415875 0 0
T5 677 23 0 0
T6 1297 1 0 0
T8 45213 1667 0 0
T9 1406 15 0 0
T10 2124 0 0 0
T11 0 14 0 0
T12 0 582 0 0
T16 1541 0 0 0
T17 1625 0 0 0
T22 0 1436 0 0
T25 5313 62 0 0
T31 1776 20 0 0
T61 0 16 0 0
T62 1074 0 0 0

Line Coverage for Instance : tb.dut.u_tl_adapter_eflash.u_sramreqfifo
Line No.TotalCoveredPercent
TOTAL1414100.00
ALWAYS6944100.00
CONT_ASSIGN8111100.00
CONT_ASSIGN8211100.00
CONT_ASSIGN10011100.00
CONT_ASSIGN10111100.00
CONT_ASSIGN12011100.00
ALWAYS12322100.00
CONT_ASSIGN13311100.00
CONT_ASSIGN13411100.00
CONT_ASSIGN13811100.00

68 always_ff @(posedge clk_i or negedge rst_ni) begin 69 1/1 if (!rst_ni) begin Tests: T1 T2 T3  70 1/1 under_rst <= 1'b1; Tests: T1 T2 T3  71 1/1 end else if (under_rst) begin Tests: T1 T2 T3  72 1/1 under_rst <= ~under_rst; Tests: T1 T2 T3  73 end MISSING_ELSE 74 end 75 76 logic empty; 77 78 // full and not ready for write are two different concepts. 79 // The latter can be '0' when under reset, while the former is an indication that no more 80 // entries can be written. 81 1/1 assign wready_o = ~full_o & ~under_rst; Tests: T1 T2 T3  82 1/1 assign rvalid_o = ~empty & ~under_rst; Tests: T1 T2 T3  83 84 prim_fifo_sync_cnt #( 85 .Depth(Depth), 86 .Secure(Secure) 87 ) u_fifo_cnt ( 88 .clk_i, 89 .rst_ni, 90 .clr_i, 91 .incr_wptr_i(fifo_incr_wptr), 92 .incr_rptr_i(fifo_incr_rptr), 93 .wptr_o(fifo_wptr), 94 .rptr_o(fifo_rptr), 95 .full_o, 96 .empty_o(fifo_empty), 97 .depth_o, 98 .err_o 99 ); 100 1/1 assign fifo_incr_wptr = wvalid_i & wready_o & ~under_rst; Tests: T1 T2 T3  101 1/1 assign fifo_incr_rptr = rvalid_o & rready_i & ~under_rst; Tests: T1 T2 T3  102 103 // the generate blocks below are needed to avoid lint errors due to array indexing 104 // in the where the fifo only has one storage element 105 logic [Depth-1:0][Width-1:0] storage; 106 logic [Width-1:0] storage_rdata; 107 if (Depth == 1) begin : gen_depth_eq1 108 assign storage_rdata = storage[0]; 109 110 always_ff @(posedge clk_i) 111 if (fifo_incr_wptr) begin 112 storage[0] <= wdata_i; 113 end 114 115 logic unused_ptrs; 116 assign unused_ptrs = ^{fifo_wptr, fifo_rptr}; 117 118 // fifo with more than one storage element 119 end else begin : gen_depth_gt1 120 1/1 assign storage_rdata = storage[fifo_rptr]; Tests: T1 T2 T3  121 122 always_ff @(posedge clk_i) 123 1/1 if (fifo_incr_wptr) begin Tests: T1 T2 T3  124 1/1 storage[fifo_wptr] <= wdata_i; Tests: T5 T8 T9  125 end MISSING_ELSE 126 end 127 128 logic [Width-1:0] rdata_int; 129 if (Pass == 1'b1) begin : gen_pass 130 assign rdata_int = (fifo_empty && wvalid_i) ? wdata_i : storage_rdata; 131 assign empty = fifo_empty & ~wvalid_i; 132 end else begin : gen_nopass 133 1/1 assign rdata_int = storage_rdata; Tests: T5 T8 T9  134 1/1 assign empty = fifo_empty; Tests: T1 T2 T3  135 end 136 137 if (OutputZeroIfEmpty == 1'b1) begin : gen_output_zero 138 1/1 assign rdata_o = empty ? Width'(0) : rdata_int; Tests: T1 T2 T3 

Cond Coverage for Instance : tb.dut.u_tl_adapter_eflash.u_sramreqfifo
TotalCoveredPercent
Conditions161168.75
Logical161168.75
Non-Logical00
Event00

 LINE       81
 EXPRESSION (((~full_o)) & ((~gen_normal_fifo.under_rst)))
             -----1-----   ---------------2--------------
-1--2-StatusTests
01CoveredT8,T11,T12
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       82
 EXPRESSION (((~gen_normal_fifo.empty)) & ((~gen_normal_fifo.under_rst)))
             -------------1------------   ---------------2--------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT5,T8,T9

 LINE       100
 EXPRESSION (wvalid_i & wready_o & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101Not Covered
110Not Covered
111CoveredT5,T8,T9

 LINE       101
 EXPRESSION (rvalid_o & rready_i & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011Not Covered
101CoveredT5,T8,T9
110Not Covered
111CoveredT5,T8,T9

 LINE       138
 EXPRESSION (gen_normal_fifo.empty ? (5'(0)) : gen_normal_fifo.rdata_int)
             ----------1----------
-1-StatusTests
0CoveredT5,T8,T9
1CoveredT1,T2,T3

Branch Coverage for Instance : tb.dut.u_tl_adapter_eflash.u_sramreqfifo
Line No.TotalCoveredPercent
Branches 7 7 100.00
TERNARY 138 2 2 100.00
IF 69 3 3 100.00
IF 111 2 2 100.00


138 assign rdata_o = empty ? Width'(0) : rdata_int; -1- ==> ==>

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T5,T8,T9


69 if (!rst_ni) begin -1- 70 under_rst <= 1'b1; ==> 71 end else if (under_rst) begin -2- 72 under_rst <= ~under_rst; ==> 73 end MISSING_ELSE ==>

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


111 if (fifo_incr_wptr) begin -1- 112 storage[0] <= wdata_i; ==> 113 end MISSING_ELSE ==>

Branches:
-1-StatusTests
1 Covered T5,T8,T9
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_tl_adapter_eflash.u_sramreqfifo
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 6 6 100.00 6 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 6 6 100.00 6 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataKnown_A 391176692 32107824 0 0
DataKnown_AKnownEnable 391176692 390364170 0 0
DepthKnown_A 391176692 390364170 0 0
RvalidKnown_A 391176692 390364170 0 0
WreadyKnown_A 391176692 390364170 0 0
gen_normal_fifo.depthShallNotExceedParamDepth 391176692 32107824 0 0


DataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 32107824 0 0
T5 677 23 0 0
T6 1297 0 0 0
T8 45213 505 0 0
T9 1406 15 0 0
T10 2124 0 0 0
T11 0 14 0 0
T12 0 582 0 0
T16 1541 0 0 0
T17 1625 0 0 0
T22 0 1436 0 0
T25 5313 62 0 0
T30 0 159 0 0
T31 1776 20 0 0
T61 0 16 0 0
T62 1074 0 0 0

DataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 390364170 0 0
T1 2070 1970 0 0
T2 3480 3381 0 0
T3 1887 1822 0 0
T4 4370 3912 0 0
T5 677 579 0 0
T8 45213 45151 0 0
T9 1406 1318 0 0
T10 2124 2046 0 0
T16 1541 1471 0 0
T17 1625 1542 0 0

DepthKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 390364170 0 0
T1 2070 1970 0 0
T2 3480 3381 0 0
T3 1887 1822 0 0
T4 4370 3912 0 0
T5 677 579 0 0
T8 45213 45151 0 0
T9 1406 1318 0 0
T10 2124 2046 0 0
T16 1541 1471 0 0
T17 1625 1542 0 0

RvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 390364170 0 0
T1 2070 1970 0 0
T2 3480 3381 0 0
T3 1887 1822 0 0
T4 4370 3912 0 0
T5 677 579 0 0
T8 45213 45151 0 0
T9 1406 1318 0 0
T10 2124 2046 0 0
T16 1541 1471 0 0
T17 1625 1542 0 0

WreadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 390364170 0 0
T1 2070 1970 0 0
T2 3480 3381 0 0
T3 1887 1822 0 0
T4 4370 3912 0 0
T5 677 579 0 0
T8 45213 45151 0 0
T9 1406 1318 0 0
T10 2124 2046 0 0
T16 1541 1471 0 0
T17 1625 1542 0 0

gen_normal_fifo.depthShallNotExceedParamDepth
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 32107824 0 0
T5 677 23 0 0
T6 1297 0 0 0
T8 45213 505 0 0
T9 1406 15 0 0
T10 2124 0 0 0
T11 0 14 0 0
T12 0 582 0 0
T16 1541 0 0 0
T17 1625 0 0 0
T22 0 1436 0 0
T25 5313 62 0 0
T30 0 159 0 0
T31 1776 20 0 0
T61 0 16 0 0
T62 1074 0 0 0

Line Coverage for Instance : tb.dut.u_tl_adapter_eflash.u_rspfifo
Line No.TotalCoveredPercent
TOTAL1414100.00
ALWAYS6944100.00
CONT_ASSIGN8111100.00
CONT_ASSIGN8211100.00
CONT_ASSIGN10011100.00
CONT_ASSIGN10111100.00
CONT_ASSIGN12011100.00
ALWAYS12322100.00
CONT_ASSIGN13011100.00
CONT_ASSIGN13111100.00
CONT_ASSIGN13811100.00

68 always_ff @(posedge clk_i or negedge rst_ni) begin 69 1/1 if (!rst_ni) begin Tests: T1 T2 T3  70 1/1 under_rst <= 1'b1; Tests: T1 T2 T3  71 1/1 end else if (under_rst) begin Tests: T1 T2 T3  72 1/1 under_rst <= ~under_rst; Tests: T1 T2 T3  73 end MISSING_ELSE 74 end 75 76 logic empty; 77 78 // full and not ready for write are two different concepts. 79 // The latter can be '0' when under reset, while the former is an indication that no more 80 // entries can be written. 81 1/1 assign wready_o = ~full_o & ~under_rst; Tests: T1 T2 T3  82 1/1 assign rvalid_o = ~empty & ~under_rst; Tests: T1 T2 T3  83 84 prim_fifo_sync_cnt #( 85 .Depth(Depth), 86 .Secure(Secure) 87 ) u_fifo_cnt ( 88 .clk_i, 89 .rst_ni, 90 .clr_i, 91 .incr_wptr_i(fifo_incr_wptr), 92 .incr_rptr_i(fifo_incr_rptr), 93 .wptr_o(fifo_wptr), 94 .rptr_o(fifo_rptr), 95 .full_o, 96 .empty_o(fifo_empty), 97 .depth_o, 98 .err_o 99 ); 100 1/1 assign fifo_incr_wptr = wvalid_i & wready_o & ~under_rst; Tests: T1 T2 T3  101 1/1 assign fifo_incr_rptr = rvalid_o & rready_i & ~under_rst; Tests: T1 T2 T3  102 103 // the generate blocks below are needed to avoid lint errors due to array indexing 104 // in the where the fifo only has one storage element 105 logic [Depth-1:0][Width-1:0] storage; 106 logic [Width-1:0] storage_rdata; 107 if (Depth == 1) begin : gen_depth_eq1 108 assign storage_rdata = storage[0]; 109 110 always_ff @(posedge clk_i) 111 if (fifo_incr_wptr) begin 112 storage[0] <= wdata_i; 113 end 114 115 logic unused_ptrs; 116 assign unused_ptrs = ^{fifo_wptr, fifo_rptr}; 117 118 // fifo with more than one storage element 119 end else begin : gen_depth_gt1 120 1/1 assign storage_rdata = storage[fifo_rptr]; Tests: T1 T2 T3  121 122 always_ff @(posedge clk_i) 123 1/1 if (fifo_incr_wptr) begin Tests: T1 T2 T3  124 1/1 storage[fifo_wptr] <= wdata_i; Tests: T5 T8 T9  125 end MISSING_ELSE 126 end 127 128 logic [Width-1:0] rdata_int; 129 if (Pass == 1'b1) begin : gen_pass 130 1/1 assign rdata_int = (fifo_empty && wvalid_i) ? wdata_i : storage_rdata; Tests: T1 T2 T3  131 1/1 assign empty = fifo_empty & ~wvalid_i; Tests: T1 T2 T3  132 end else begin : gen_nopass 133 assign rdata_int = storage_rdata; 134 assign empty = fifo_empty; 135 end 136 137 if (OutputZeroIfEmpty == 1'b1) begin : gen_output_zero 138 1/1 assign rdata_o = empty ? Width'(0) : rdata_int; Tests: T1 T2 T3 

Cond Coverage for Instance : tb.dut.u_tl_adapter_eflash.u_rspfifo
TotalCoveredPercent
Conditions241979.17
Logical241979.17
Non-Logical00
Event00

 LINE       81
 EXPRESSION (((~full_o)) & ((~gen_normal_fifo.under_rst)))
             -----1-----   ---------------2--------------
-1--2-StatusTests
01CoveredT52,T58,T69
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       82
 EXPRESSION (((~gen_normal_fifo.empty)) & ((~gen_normal_fifo.under_rst)))
             -------------1------------   ---------------2--------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT5,T8,T9

 LINE       100
 EXPRESSION (wvalid_i & wready_o & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101Not Covered
110Not Covered
111CoveredT5,T8,T9

 LINE       101
 EXPRESSION (rvalid_o & rready_i & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011Not Covered
101CoveredT8,T9,T22
110Not Covered
111CoveredT5,T8,T9

 LINE       130
 EXPRESSION ((gen_normal_fifo.fifo_empty && wvalid_i) ? wdata_i : gen_normal_fifo.storage_rdata)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T8,T9

 LINE       130
 SUB-EXPRESSION (gen_normal_fifo.fifo_empty && wvalid_i)
                 -------------1------------    ----2---
-1--2-StatusTests
01CoveredT8,T52,T70
10CoveredT1,T2,T3
11CoveredT5,T8,T9

 LINE       131
 EXPRESSION (gen_normal_fifo.fifo_empty & ((~wvalid_i)))
             -------------1------------   ------2------
-1--2-StatusTests
01CoveredT8,T52,T71
10CoveredT5,T8,T9
11CoveredT1,T2,T3

 LINE       138
 EXPRESSION (gen_normal_fifo.empty ? (40'(0)) : gen_normal_fifo.rdata_int)
             ----------1----------
-1-StatusTests
0CoveredT5,T8,T9
1CoveredT1,T2,T3

Branch Coverage for Instance : tb.dut.u_tl_adapter_eflash.u_rspfifo
Line No.TotalCoveredPercent
Branches 9 9 100.00
TERNARY 130 2 2 100.00
TERNARY 138 2 2 100.00
IF 69 3 3 100.00
IF 111 2 2 100.00


130 assign rdata_int = (fifo_empty && wvalid_i) ? wdata_i : storage_rdata; -1- ==> ==>

Branches:
-1-StatusTests
1 Covered T5,T8,T9
0 Covered T1,T2,T3


138 assign rdata_o = empty ? Width'(0) : rdata_int; -1- ==> ==>

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T5,T8,T9


69 if (!rst_ni) begin -1- 70 under_rst <= 1'b1; ==> 71 end else if (under_rst) begin -2- 72 under_rst <= ~under_rst; ==> 73 end MISSING_ELSE ==>

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


111 if (fifo_incr_wptr) begin -1- 112 storage[0] <= wdata_i; ==> 113 end MISSING_ELSE ==>

Branches:
-1-StatusTests
1 Covered T5,T8,T9
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_tl_adapter_eflash.u_rspfifo
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 6 6 100.00 6 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 6 6 100.00 6 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataKnown_A 391176692 4326438 0 0
DataKnown_AKnownEnable 391176692 390364170 0 0
DepthKnown_A 391176692 390364170 0 0
RvalidKnown_A 391176692 390364170 0 0
WreadyKnown_A 391176692 390364170 0 0
gen_normal_fifo.depthShallNotExceedParamDepth 391176692 4326438 0 0


DataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 4326438 0 0
T5 677 8 0 0
T6 1297 0 0 0
T8 45213 1230 0 0
T9 1406 10 0 0
T10 2124 0 0 0
T11 0 8 0 0
T12 0 164 0 0
T16 1541 0 0 0
T17 1625 0 0 0
T22 0 500 0 0
T25 5313 16 0 0
T30 0 73 0 0
T31 1776 8 0 0
T61 0 10 0 0
T62 1074 0 0 0

DataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 390364170 0 0
T1 2070 1970 0 0
T2 3480 3381 0 0
T3 1887 1822 0 0
T4 4370 3912 0 0
T5 677 579 0 0
T8 45213 45151 0 0
T9 1406 1318 0 0
T10 2124 2046 0 0
T16 1541 1471 0 0
T17 1625 1542 0 0

DepthKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 390364170 0 0
T1 2070 1970 0 0
T2 3480 3381 0 0
T3 1887 1822 0 0
T4 4370 3912 0 0
T5 677 579 0 0
T8 45213 45151 0 0
T9 1406 1318 0 0
T10 2124 2046 0 0
T16 1541 1471 0 0
T17 1625 1542 0 0

RvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 390364170 0 0
T1 2070 1970 0 0
T2 3480 3381 0 0
T3 1887 1822 0 0
T4 4370 3912 0 0
T5 677 579 0 0
T8 45213 45151 0 0
T9 1406 1318 0 0
T10 2124 2046 0 0
T16 1541 1471 0 0
T17 1625 1542 0 0

WreadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 390364170 0 0
T1 2070 1970 0 0
T2 3480 3381 0 0
T3 1887 1822 0 0
T4 4370 3912 0 0
T5 677 579 0 0
T8 45213 45151 0 0
T9 1406 1318 0 0
T10 2124 2046 0 0
T16 1541 1471 0 0
T17 1625 1542 0 0

gen_normal_fifo.depthShallNotExceedParamDepth
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 4326438 0 0
T5 677 8 0 0
T6 1297 0 0 0
T8 45213 1230 0 0
T9 1406 10 0 0
T10 2124 0 0 0
T11 0 8 0 0
T12 0 164 0 0
T16 1541 0 0 0
T17 1625 0 0 0
T22 0 500 0 0
T25 5313 16 0 0
T30 0 73 0 0
T31 1776 8 0 0
T61 0 10 0 0
T62 1074 0 0 0

Line Coverage for Instance : tb.dut.u_tl_adapter_eflash.gen_data_xor_addr_fifo.u_sramreqaddrfifo
Line No.TotalCoveredPercent
TOTAL1414100.00
ALWAYS6944100.00
CONT_ASSIGN8111100.00
CONT_ASSIGN8211100.00
CONT_ASSIGN10011100.00
CONT_ASSIGN10111100.00
CONT_ASSIGN12011100.00
ALWAYS12322100.00
CONT_ASSIGN13311100.00
CONT_ASSIGN13411100.00
CONT_ASSIGN13811100.00

68 always_ff @(posedge clk_i or negedge rst_ni) begin 69 1/1 if (!rst_ni) begin Tests: T1 T2 T3  70 1/1 under_rst <= 1'b1; Tests: T1 T2 T3  71 1/1 end else if (under_rst) begin Tests: T1 T2 T3  72 1/1 under_rst <= ~under_rst; Tests: T1 T2 T3  73 end MISSING_ELSE 74 end 75 76 logic empty; 77 78 // full and not ready for write are two different concepts. 79 // The latter can be '0' when under reset, while the former is an indication that no more 80 // entries can be written. 81 1/1 assign wready_o = ~full_o & ~under_rst; Tests: T1 T2 T3  82 1/1 assign rvalid_o = ~empty & ~under_rst; Tests: T1 T2 T3  83 84 prim_fifo_sync_cnt #( 85 .Depth(Depth), 86 .Secure(Secure) 87 ) u_fifo_cnt ( 88 .clk_i, 89 .rst_ni, 90 .clr_i, 91 .incr_wptr_i(fifo_incr_wptr), 92 .incr_rptr_i(fifo_incr_rptr), 93 .wptr_o(fifo_wptr), 94 .rptr_o(fifo_rptr), 95 .full_o, 96 .empty_o(fifo_empty), 97 .depth_o, 98 .err_o 99 ); 100 1/1 assign fifo_incr_wptr = wvalid_i & wready_o & ~under_rst; Tests: T1 T2 T3  101 1/1 assign fifo_incr_rptr = rvalid_o & rready_i & ~under_rst; Tests: T1 T2 T3  102 103 // the generate blocks below are needed to avoid lint errors due to array indexing 104 // in the where the fifo only has one storage element 105 logic [Depth-1:0][Width-1:0] storage; 106 logic [Width-1:0] storage_rdata; 107 if (Depth == 1) begin : gen_depth_eq1 108 assign storage_rdata = storage[0]; 109 110 always_ff @(posedge clk_i) 111 if (fifo_incr_wptr) begin 112 storage[0] <= wdata_i; 113 end 114 115 logic unused_ptrs; 116 assign unused_ptrs = ^{fifo_wptr, fifo_rptr}; 117 118 // fifo with more than one storage element 119 end else begin : gen_depth_gt1 120 1/1 assign storage_rdata = storage[fifo_rptr]; Tests: T1 T2 T3  121 122 always_ff @(posedge clk_i) 123 1/1 if (fifo_incr_wptr) begin Tests: T1 T2 T3  124 1/1 storage[fifo_wptr] <= wdata_i; Tests: T5 T8 T9  125 end MISSING_ELSE 126 end 127 128 logic [Width-1:0] rdata_int; 129 if (Pass == 1'b1) begin : gen_pass 130 assign rdata_int = (fifo_empty && wvalid_i) ? wdata_i : storage_rdata; 131 assign empty = fifo_empty & ~wvalid_i; 132 end else begin : gen_nopass 133 1/1 assign rdata_int = storage_rdata; Tests: T5 T8 T9  134 1/1 assign empty = fifo_empty; Tests: T1 T2 T3  135 end 136 137 if (OutputZeroIfEmpty == 1'b1) begin : gen_output_zero 138 1/1 assign rdata_o = empty ? Width'(0) : rdata_int; Tests: T1 T2 T3 

Cond Coverage for Instance : tb.dut.u_tl_adapter_eflash.gen_data_xor_addr_fifo.u_sramreqaddrfifo
TotalCoveredPercent
Conditions161168.75
Logical161168.75
Non-Logical00
Event00

 LINE       81
 EXPRESSION (((~full_o)) & ((~gen_normal_fifo.under_rst)))
             -----1-----   ---------------2--------------
-1--2-StatusTests
01CoveredT8,T11,T12
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       82
 EXPRESSION (((~gen_normal_fifo.empty)) & ((~gen_normal_fifo.under_rst)))
             -------------1------------   ---------------2--------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT5,T8,T9

 LINE       100
 EXPRESSION (wvalid_i & wready_o & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101Not Covered
110Not Covered
111CoveredT5,T8,T9

 LINE       101
 EXPRESSION (rvalid_o & rready_i & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011Not Covered
101CoveredT5,T8,T9
110Not Covered
111CoveredT5,T8,T9

 LINE       138
 EXPRESSION (gen_normal_fifo.empty ? (17'(0)) : gen_normal_fifo.rdata_int)
             ----------1----------
-1-StatusTests
0CoveredT5,T8,T9
1CoveredT1,T2,T3

Branch Coverage for Instance : tb.dut.u_tl_adapter_eflash.gen_data_xor_addr_fifo.u_sramreqaddrfifo
Line No.TotalCoveredPercent
Branches 7 7 100.00
TERNARY 138 2 2 100.00
IF 69 3 3 100.00
IF 111 2 2 100.00


138 assign rdata_o = empty ? Width'(0) : rdata_int; -1- ==> ==>

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T5,T8,T9


69 if (!rst_ni) begin -1- 70 under_rst <= 1'b1; ==> 71 end else if (under_rst) begin -2- 72 under_rst <= ~under_rst; ==> 73 end MISSING_ELSE ==>

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


111 if (fifo_incr_wptr) begin -1- 112 storage[0] <= wdata_i; ==> 113 end MISSING_ELSE ==>

Branches:
-1-StatusTests
1 Covered T5,T8,T9
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_tl_adapter_eflash.gen_data_xor_addr_fifo.u_sramreqaddrfifo
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 6 6 100.00 6 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 6 6 100.00 6 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataKnown_A 391176692 32107824 0 0
DataKnown_AKnownEnable 391176692 390364170 0 0
DepthKnown_A 391176692 390364170 0 0
RvalidKnown_A 391176692 390364170 0 0
WreadyKnown_A 391176692 390364170 0 0
gen_normal_fifo.depthShallNotExceedParamDepth 391176692 32107824 0 0


DataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 32107824 0 0
T5 677 23 0 0
T6 1297 0 0 0
T8 45213 505 0 0
T9 1406 15 0 0
T10 2124 0 0 0
T11 0 14 0 0
T12 0 582 0 0
T16 1541 0 0 0
T17 1625 0 0 0
T22 0 1436 0 0
T25 5313 62 0 0
T30 0 159 0 0
T31 1776 20 0 0
T61 0 16 0 0
T62 1074 0 0 0

DataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 390364170 0 0
T1 2070 1970 0 0
T2 3480 3381 0 0
T3 1887 1822 0 0
T4 4370 3912 0 0
T5 677 579 0 0
T8 45213 45151 0 0
T9 1406 1318 0 0
T10 2124 2046 0 0
T16 1541 1471 0 0
T17 1625 1542 0 0

DepthKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 390364170 0 0
T1 2070 1970 0 0
T2 3480 3381 0 0
T3 1887 1822 0 0
T4 4370 3912 0 0
T5 677 579 0 0
T8 45213 45151 0 0
T9 1406 1318 0 0
T10 2124 2046 0 0
T16 1541 1471 0 0
T17 1625 1542 0 0

RvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 390364170 0 0
T1 2070 1970 0 0
T2 3480 3381 0 0
T3 1887 1822 0 0
T4 4370 3912 0 0
T5 677 579 0 0
T8 45213 45151 0 0
T9 1406 1318 0 0
T10 2124 2046 0 0
T16 1541 1471 0 0
T17 1625 1542 0 0

WreadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 390364170 0 0
T1 2070 1970 0 0
T2 3480 3381 0 0
T3 1887 1822 0 0
T4 4370 3912 0 0
T5 677 579 0 0
T8 45213 45151 0 0
T9 1406 1318 0 0
T10 2124 2046 0 0
T16 1541 1471 0 0
T17 1625 1542 0 0

gen_normal_fifo.depthShallNotExceedParamDepth
NameAttemptsReal SuccessesFailuresIncomplete
Total 391176692 32107824 0 0
T5 677 23 0 0
T6 1297 0 0 0
T8 45213 505 0 0
T9 1406 15 0 0
T10 2124 0 0 0
T11 0 14 0 0
T12 0 582 0 0
T16 1541 0 0 0
T17 1625 0 0 0
T22 0 1436 0 0
T25 5313 62 0 0
T30 0 159 0 0
T31 1776 20 0 0
T61 0 16 0 0
T62 1074 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%