Line Coverage for Module :
prim_mubi4_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Module :
prim_mubi4_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
16665 |
16665 |
0 |
0 |
T1 |
33 |
33 |
0 |
0 |
T2 |
33 |
33 |
0 |
0 |
T3 |
33 |
33 |
0 |
0 |
T4 |
33 |
33 |
0 |
0 |
T5 |
33 |
33 |
0 |
0 |
T6 |
33 |
33 |
0 |
0 |
T7 |
33 |
33 |
0 |
0 |
T8 |
33 |
33 |
0 |
0 |
T9 |
33 |
33 |
0 |
0 |
T10 |
33 |
33 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
398822879 |
224508631 |
0 |
0 |
T1 |
173175 |
27798 |
0 |
0 |
T2 |
153361 |
120372 |
0 |
0 |
T3 |
53510 |
32029 |
0 |
0 |
T4 |
91499 |
66857 |
0 |
0 |
T5 |
129833 |
97203 |
0 |
0 |
T6 |
119197 |
99580 |
0 |
0 |
T7 |
222959 |
19628 |
0 |
0 |
T8 |
569405 |
265829 |
0 |
0 |
T9 |
895857 |
297780 |
0 |
0 |
T10 |
6364437 |
637060 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
398822879 |
224508631 |
0 |
0 |
T1 |
173175 |
27798 |
0 |
0 |
T2 |
153361 |
120372 |
0 |
0 |
T3 |
53510 |
32029 |
0 |
0 |
T4 |
91499 |
66857 |
0 |
0 |
T5 |
129833 |
97203 |
0 |
0 |
T6 |
119197 |
99580 |
0 |
0 |
T7 |
222959 |
19628 |
0 |
0 |
T8 |
569405 |
265829 |
0 |
0 |
T9 |
895857 |
297780 |
0 |
0 |
T10 |
6364437 |
637060 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_ctrl_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_ctrl_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
13608511 |
7958359 |
0 |
0 |
T1 |
5335 |
1142 |
0 |
0 |
T2 |
4881 |
3892 |
0 |
0 |
T3 |
1638 |
989 |
0 |
0 |
T4 |
3243 |
2601 |
0 |
0 |
T5 |
4169 |
3187 |
0 |
0 |
T6 |
3677 |
3036 |
0 |
0 |
T7 |
7183 |
780 |
0 |
0 |
T8 |
21789 |
11397 |
0 |
0 |
T9 |
30289 |
12308 |
0 |
0 |
T10 |
198229 |
24036 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
13608511 |
7958359 |
0 |
0 |
T1 |
5335 |
1142 |
0 |
0 |
T2 |
4881 |
3892 |
0 |
0 |
T3 |
1638 |
989 |
0 |
0 |
T4 |
3243 |
2601 |
0 |
0 |
T5 |
4169 |
3187 |
0 |
0 |
T6 |
3677 |
3036 |
0 |
0 |
T7 |
7183 |
780 |
0 |
0 |
T8 |
21789 |
11397 |
0 |
0 |
T9 |
30289 |
12308 |
0 |
0 |
T10 |
198229 |
24036 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_por.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_daon_por.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_por_io.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_daon_por_io.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_por_io_div2.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_daon_por_io_div2.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_por_io_div4.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_daon_por_io_div4.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_por_usb.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_daon_por_usb.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_lc.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_daon_lc.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_lc.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_d0_lc.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_lc_shadowed.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_daon_lc_shadowed.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_lc_shadowed.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_d0_lc_shadowed.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_lc_aon.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_daon_lc_aon.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_lc_io.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_daon_lc_io.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_lc_io.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_d0_lc_io.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_lc_io_div2.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_daon_lc_io_div2.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_lc_io_div2.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_d0_lc_io_div2.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_lc_io_div4.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_daon_lc_io_div4.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_lc_io_div4.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_d0_lc_io_div4.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_lc_io_div4_shadowed.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_daon_lc_io_div4_shadowed.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_lc_io_div4_shadowed.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_d0_lc_io_div4_shadowed.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_lc_usb.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_daon_lc_usb.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_lc_usb.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_d0_lc_usb.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_sys.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_d0_sys.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_daon_sys_io_div4.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_daon_sys_io_div4.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_spi_device.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_d0_spi_device.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_spi_host0.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_d0_spi_host0.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_spi_host1.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_d0_spi_host1.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_usb.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_d0_usb.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_usb_aon.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_d0_usb_aon.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_i2c0.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_d0_i2c0.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_i2c1.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_d0_i2c1.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.u_d0_i2c2.u_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.u_d0_i2c2.u_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.gen_rst_por_aon[0].u_por_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.gen_rst_por_aon[0].u_por_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
Line Coverage for Instance : tb.dut.gen_rst_por_aon[1].u_por_scanmode_sync
| Line No. | Total | Covered | Percent |
TOTAL | | 2 | 2 | 100.00 |
ALWAYS | 145 | 0 | 0 | |
CONT_ASSIGN | 155 | 1 | 1 | 100.00 |
CONT_ASSIGN | 168 | 1 | 1 | 100.00 |
144 always_ff @(posedge clk_i or negedge rst_ni) begin
145 unreachable if (!rst_ni) begin
146 unreachable unused_logic <= MuBi4False;
147 end else begin
148 unreachable unused_logic <= mubi_i;
149 end
150 end
151
152 //VCS coverage on
153 // pragma coverage on
154
155 1/1 assign mubi = MuBi4Width'(mubi_i);
Tests: T2 T5 T8
156
157 `ASSERT(OutputDelay_A, mubi_o == {NumCopies{mubi_i}})
158 end
159
160 for (genvar j = 0; j < NumCopies; j++) begin : gen_buffs
161 logic [MuBi4Width-1:0] mubi_out;
162 for (genvar k = 0; k < MuBi4Width; k++) begin : gen_bits
163 prim_buf u_prim_buf (
164 .in_i(mubi[k]),
165 .out_o(mubi_out[k])
166 );
167 end
168 1/1 assign mubi_o[j] = mubi4_t'(mubi_out);
Tests: T2 T5 T8
Assert Coverage for Instance : tb.dut.gen_rst_por_aon[1].u_por_scanmode_sync
Assertion Details
NumCopiesMustBeGreaterZero_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
505 |
505 |
0 |
0 |
T1 |
1 |
1 |
0 |
0 |
T2 |
1 |
1 |
0 |
0 |
T3 |
1 |
1 |
0 |
0 |
T4 |
1 |
1 |
0 |
0 |
T5 |
1 |
1 |
0 |
0 |
T6 |
1 |
1 |
0 |
0 |
T7 |
1 |
1 |
0 |
0 |
T8 |
1 |
1 |
0 |
0 |
T9 |
1 |
1 |
0 |
0 |
T10 |
1 |
1 |
0 |
0 |
OutputsKnown_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |
gen_no_flops.OutputDelay_A
Name | Attempts | Real Successes | Failures | Incomplete |
Total |
12037949 |
6767196 |
0 |
0 |
T1 |
5245 |
833 |
0 |
0 |
T2 |
4640 |
3640 |
0 |
0 |
T3 |
1621 |
970 |
0 |
0 |
T4 |
2758 |
2008 |
0 |
0 |
T5 |
3927 |
2938 |
0 |
0 |
T6 |
3610 |
3017 |
0 |
0 |
T7 |
6743 |
589 |
0 |
0 |
T8 |
17113 |
7951 |
0 |
0 |
T9 |
27049 |
8921 |
0 |
0 |
T10 |
192694 |
19157 |
0 |
0 |