Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : edn
SCORELINECONDTOGGLEFSMBRANCHASSERT
83.59 83.33 100.00 67.44

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_edn_0.1/rtl/edn.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut 83.59 83.33 100.00 67.44



Module Instance : tb.dut

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
83.59 83.33 100.00 67.44


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
93.94 98.27 93.56 96.84 81.50 96.87 96.58


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
edn_csr_assert 100.00 100.00
gen_alert_tx[0].u_prim_alert_sender 100.00 100.00
gen_alert_tx[1].u_prim_alert_sender 100.00 100.00
tlul_assert_device 100.00 100.00 100.00 100.00
u_edn_core 90.53 99.92 92.06 71.29 81.50 99.55 98.88
u_edn_cov_if 25.00 50.00 0.00
u_reg 96.95 95.02 97.57 100.00 92.16 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Cond Coverage for Module : edn
TotalCoveredPercent
Conditions6583.33
Logical6583.33
Non-Logical00
Event00

 LINE       98
 EXPRESSION (alert[0] || intg_err_alert[0])
             ----1---    --------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT11,T17,T18

 LINE       98
 EXPRESSION (alert[1] || intg_err_alert[1])
             ----1---    --------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT19,T20,T21
10CoveredT4,T5,T22

Toggle Coverage for Module : edn
TotalCoveredPercent
Totals 69 69 100.00
Total Bits 1168 1168 100.00
Total Bits 0->1 584 584 100.00
Total Bits 1->0 584 584 100.00

Ports 69 69 100.00
Port Bits 1168 1168 100.00
Port Bits 0->1 584 584 100.00
Port Bits 1->0 584 584 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T2,T4,T5 Yes T1,T2,T3 INPUT
tl_i.d_ready Yes Yes T1,T2,T4 Yes T1,T2,T3 INPUT
tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.instr_type[3:0] Yes Yes T4,T23,T24 Yes T4,T23,T24 INPUT
tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_address[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_source[7:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
tl_i.a_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_error Yes Yes T25,T26,T27 Yes T25,T26,T27 OUTPUT
tl_o.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_user.rsp_intg[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
tl_o.d_source[7:0] Yes Yes T2,T3,T23 Yes T1,T2,T3 OUTPUT
tl_o.d_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
edn_i[0].edn_req Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
edn_i[1].edn_req Yes Yes T28,T29,T22 Yes T28,T29,T22 INPUT
edn_i[2].edn_req Yes Yes T30,T24,T31 Yes T30,T24,T31 INPUT
edn_i[3].edn_req Yes Yes T30,T24,T29 Yes T30,T24,T29 INPUT
edn_i[4].edn_req Yes Yes T1,T24,T29 Yes T1,T24,T29 INPUT
edn_i[5].edn_req Yes Yes T1,T31,T29 Yes T1,T31,T29 INPUT
edn_i[6].edn_req Yes Yes T29,T32,T33 Yes T29,T32,T33 INPUT
edn_o[0].edn_bus[31:0] Yes Yes T1,T2,T34 Yes T1,T2,T3 OUTPUT
edn_o[0].edn_fips Yes Yes T1,T2,T23 Yes T1,T2,T3 OUTPUT
edn_o[0].edn_ack Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
edn_o[1].edn_bus[31:0] Yes Yes T28,T29,T22 Yes T28,T29,T22 OUTPUT
edn_o[1].edn_fips Yes Yes T28,T22,T33 Yes T28,T22,T33 OUTPUT
edn_o[1].edn_ack Yes Yes T28,T29,T22 Yes T28,T29,T22 OUTPUT
edn_o[2].edn_bus[31:0] Yes Yes T30,T31,T29 Yes T30,T24,T31 OUTPUT
edn_o[2].edn_fips Yes Yes T31,T29,T33 Yes T30,T24,T31 OUTPUT
edn_o[2].edn_ack Yes Yes T30,T24,T31 Yes T30,T24,T31 OUTPUT
edn_o[3].edn_bus[31:0] Yes Yes T30,T24,T29 Yes T30,T24,T29 OUTPUT
edn_o[3].edn_fips Yes Yes T29,T11,T35 Yes T30,T24,T29 OUTPUT
edn_o[3].edn_ack Yes Yes T30,T24,T29 Yes T30,T24,T29 OUTPUT
edn_o[4].edn_bus[31:0] Yes Yes T1,T24,T29 Yes T1,T24,T29 OUTPUT
edn_o[4].edn_fips Yes Yes T1,T36,T37 Yes T1,T38,T39 OUTPUT
edn_o[4].edn_ack Yes Yes T1,T24,T29 Yes T1,T24,T29 OUTPUT
edn_o[5].edn_bus[31:0] Yes Yes T1,T11,T35 Yes T1,T31,T29 OUTPUT
edn_o[5].edn_fips Yes Yes T1,T40,T35 Yes T1,T29,T40 OUTPUT
edn_o[5].edn_ack Yes Yes T1,T31,T29 Yes T1,T31,T29 OUTPUT
edn_o[6].edn_bus[31:0] Yes Yes T29,T32,T33 Yes T29,T32,T33 OUTPUT
edn_o[6].edn_fips Yes Yes T29,T33,T41 Yes T29,T33,T35 OUTPUT
edn_o[6].edn_ack Yes Yes T29,T32,T33 Yes T29,T32,T33 OUTPUT
csrng_cmd_o.genbits_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
csrng_cmd_o.csrng_req_bus[31:0] Yes Yes T1,T2,T34 Yes T1,T2,T3 OUTPUT
csrng_cmd_o.csrng_req_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
csrng_cmd_i.genbits_bus[127:0] Yes Yes T1,T2,T23 Yes T1,T23,T30 INPUT
csrng_cmd_i.genbits_fips Yes Yes T1,T2,T23 Yes T1,T2,T34 INPUT
csrng_cmd_i.genbits_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
csrng_cmd_i.csrng_rsp_sts Yes Yes T11,T17,T18 Yes T11,T17,T18 INPUT
csrng_cmd_i.csrng_rsp_ack Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
csrng_cmd_i.csrng_req_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T42,T43,T44 Yes T42,T43,T44 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[1].ack_p Yes Yes T4,T42,T43 Yes T4,T42,T43 INPUT
alert_rx_i[1].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T42,T43,T44 Yes T42,T43,T44 OUTPUT
alert_tx_o[1].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[1].alert_p Yes Yes T4,T42,T43 Yes T4,T42,T43 OUTPUT
intr_edn_cmd_req_done_o Yes Yes T2,T25,T26 Yes T2,T25,T26 OUTPUT
intr_edn_fatal_err_o Yes Yes T2,T5,T25 Yes T2,T5,T25 OUTPUT

*Tests covering at least one bit in the range

Assert Coverage for Module : edn
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 43 43 100.00 29 67.44
Cover properties 0 0 0
Cover sequences 0 0 0
Total 43 43 100.00 29 67.44




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertTxKnownO_A 209531609 209401306 0 0
CsrngAppIfOut_A 209531609 209401306 0 0
FpvSecCmCntAlertCheck_A 209531609 99 0 0
FpvSecCmMainFsmCheck_A 209531609 50 0 0
FpvSecCmRegWeOnehotCheck_A 209531609 50 0 0
IntrEdnCmdReqDoneKnownO_A 209531609 209401306 0 0
TlAReadyKnownO_A 209531609 209401306 0 0
TlDValidKnownO_A 209531609 209401306 0 0
gen_edn_fsm_asserts[0].FpvSecCmAckFsmCheck_A 209531609 50 0 0
gen_edn_fsm_asserts[1].FpvSecCmAckFsmCheck_A 209531609 50 0 0
gen_edn_fsm_asserts[2].FpvSecCmAckFsmCheck_A 209531609 50 0 0
gen_edn_fsm_asserts[3].FpvSecCmAckFsmCheck_A 209531609 50 0 0
gen_edn_fsm_asserts[4].FpvSecCmAckFsmCheck_A 209531609 50 0 0
gen_edn_fsm_asserts[5].FpvSecCmAckFsmCheck_A 209531609 50 0 0
gen_edn_fsm_asserts[6].FpvSecCmAckFsmCheck_A 209531609 50 0 0
gen_edn_if_asserts[0].EdnDataStableDisable_A 209531609 0 0 0
gen_edn_if_asserts[0].EdnDataStable_A 209531609 0 0 0
gen_edn_if_asserts[0].EdnEndPointOut_A 209531609 209401306 0 0
gen_edn_if_asserts[0].EdnFatalAlertNoRsp_A 209531609 124837 0 0
gen_edn_if_asserts[1].EdnDataStableDisable_A 209531609 0 0 0
gen_edn_if_asserts[1].EdnDataStable_A 209531609 0 0 0
gen_edn_if_asserts[1].EdnEndPointOut_A 209531609 209401306 0 0
gen_edn_if_asserts[1].EdnFatalAlertNoRsp_A 209531609 124837 0 0
gen_edn_if_asserts[2].EdnDataStableDisable_A 209531609 0 0 0
gen_edn_if_asserts[2].EdnDataStable_A 209531609 0 0 0
gen_edn_if_asserts[2].EdnEndPointOut_A 209531609 209401306 0 0
gen_edn_if_asserts[2].EdnFatalAlertNoRsp_A 209531609 124837 0 0
gen_edn_if_asserts[3].EdnDataStableDisable_A 209531609 0 0 0
gen_edn_if_asserts[3].EdnDataStable_A 209531609 0 0 0
gen_edn_if_asserts[3].EdnEndPointOut_A 209531609 209401306 0 0
gen_edn_if_asserts[3].EdnFatalAlertNoRsp_A 209531609 124837 0 0
gen_edn_if_asserts[4].EdnDataStableDisable_A 209531609 0 0 0
gen_edn_if_asserts[4].EdnDataStable_A 209531609 0 0 0
gen_edn_if_asserts[4].EdnEndPointOut_A 209531609 209401306 0 0
gen_edn_if_asserts[4].EdnFatalAlertNoRsp_A 209531609 124837 0 0
gen_edn_if_asserts[5].EdnDataStableDisable_A 209531609 0 0 0
gen_edn_if_asserts[5].EdnDataStable_A 209531609 0 0 0
gen_edn_if_asserts[5].EdnEndPointOut_A 209531609 209401306 0 0
gen_edn_if_asserts[5].EdnFatalAlertNoRsp_A 209531609 124837 0 0
gen_edn_if_asserts[6].EdnDataStableDisable_A 209531609 0 0 0
gen_edn_if_asserts[6].EdnDataStable_A 209531609 0 0 0
gen_edn_if_asserts[6].EdnEndPointOut_A 209531609 209401306 0 0
gen_edn_if_asserts[6].EdnFatalAlertNoRsp_A 209531609 124837 0 0


AlertTxKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 209401306 0 0
T1 2898 2802 0 0
T2 17360 17008 0 0
T3 1316 1231 0 0
T4 1609 1494 0 0
T23 3703 3653 0 0
T24 1294 1203 0 0
T30 4772 4677 0 0
T34 1575 1499 0 0
T42 934 841 0 0
T45 1134 1073 0 0

CsrngAppIfOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 209401306 0 0
T1 2898 2802 0 0
T2 17360 17008 0 0
T3 1316 1231 0 0
T4 1609 1494 0 0
T23 3703 3653 0 0
T24 1294 1203 0 0
T30 4772 4677 0 0
T34 1575 1499 0 0
T42 934 841 0 0
T45 1134 1073 0 0

FpvSecCmCntAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 99 0 0
T4 1609 1 0 0
T5 1257 0 0 0
T8 0 1 0 0
T15 0 1 0 0
T16 0 1 0 0
T23 3703 0 0 0
T24 1294 0 0 0
T28 1827 0 0 0
T30 4772 0 0 0
T42 934 0 0 0
T43 1159 0 0 0
T45 1134 0 0 0
T46 0 1 0 0
T47 0 1 0 0
T48 0 1 0 0
T49 0 1 0 0
T50 0 1 0 0
T51 0 1 0 0
T52 2454 0 0 0

FpvSecCmMainFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 50 0 0
T19 17853 10 0 0
T20 0 10 0 0
T21 0 10 0 0
T53 0 10 0 0
T54 0 10 0 0
T55 1366 0 0 0
T56 978 0 0 0
T57 1909 0 0 0
T58 17810 0 0 0
T59 2228 0 0 0
T60 367787 0 0 0
T61 607 0 0 0
T62 1119 0 0 0
T63 772652 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 50 0 0
T19 17853 10 0 0
T20 0 10 0 0
T21 0 10 0 0
T53 0 10 0 0
T54 0 10 0 0
T55 1366 0 0 0
T56 978 0 0 0
T57 1909 0 0 0
T58 17810 0 0 0
T59 2228 0 0 0
T60 367787 0 0 0
T61 607 0 0 0
T62 1119 0 0 0
T63 772652 0 0 0

IntrEdnCmdReqDoneKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 209401306 0 0
T1 2898 2802 0 0
T2 17360 17008 0 0
T3 1316 1231 0 0
T4 1609 1494 0 0
T23 3703 3653 0 0
T24 1294 1203 0 0
T30 4772 4677 0 0
T34 1575 1499 0 0
T42 934 841 0 0
T45 1134 1073 0 0

TlAReadyKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 209401306 0 0
T1 2898 2802 0 0
T2 17360 17008 0 0
T3 1316 1231 0 0
T4 1609 1494 0 0
T23 3703 3653 0 0
T24 1294 1203 0 0
T30 4772 4677 0 0
T34 1575 1499 0 0
T42 934 841 0 0
T45 1134 1073 0 0

TlDValidKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 209401306 0 0
T1 2898 2802 0 0
T2 17360 17008 0 0
T3 1316 1231 0 0
T4 1609 1494 0 0
T23 3703 3653 0 0
T24 1294 1203 0 0
T30 4772 4677 0 0
T34 1575 1499 0 0
T42 934 841 0 0
T45 1134 1073 0 0

gen_edn_fsm_asserts[0].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 50 0 0
T19 17853 10 0 0
T20 0 10 0 0
T21 0 10 0 0
T53 0 10 0 0
T54 0 10 0 0
T55 1366 0 0 0
T56 978 0 0 0
T57 1909 0 0 0
T58 17810 0 0 0
T59 2228 0 0 0
T60 367787 0 0 0
T61 607 0 0 0
T62 1119 0 0 0
T63 772652 0 0 0

gen_edn_fsm_asserts[1].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 50 0 0
T19 17853 10 0 0
T20 0 10 0 0
T21 0 10 0 0
T53 0 10 0 0
T54 0 10 0 0
T55 1366 0 0 0
T56 978 0 0 0
T57 1909 0 0 0
T58 17810 0 0 0
T59 2228 0 0 0
T60 367787 0 0 0
T61 607 0 0 0
T62 1119 0 0 0
T63 772652 0 0 0

gen_edn_fsm_asserts[2].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 50 0 0
T19 17853 10 0 0
T20 0 10 0 0
T21 0 10 0 0
T53 0 10 0 0
T54 0 10 0 0
T55 1366 0 0 0
T56 978 0 0 0
T57 1909 0 0 0
T58 17810 0 0 0
T59 2228 0 0 0
T60 367787 0 0 0
T61 607 0 0 0
T62 1119 0 0 0
T63 772652 0 0 0

gen_edn_fsm_asserts[3].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 50 0 0
T19 17853 10 0 0
T20 0 10 0 0
T21 0 10 0 0
T53 0 10 0 0
T54 0 10 0 0
T55 1366 0 0 0
T56 978 0 0 0
T57 1909 0 0 0
T58 17810 0 0 0
T59 2228 0 0 0
T60 367787 0 0 0
T61 607 0 0 0
T62 1119 0 0 0
T63 772652 0 0 0

gen_edn_fsm_asserts[4].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 50 0 0
T19 17853 10 0 0
T20 0 10 0 0
T21 0 10 0 0
T53 0 10 0 0
T54 0 10 0 0
T55 1366 0 0 0
T56 978 0 0 0
T57 1909 0 0 0
T58 17810 0 0 0
T59 2228 0 0 0
T60 367787 0 0 0
T61 607 0 0 0
T62 1119 0 0 0
T63 772652 0 0 0

gen_edn_fsm_asserts[5].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 50 0 0
T19 17853 10 0 0
T20 0 10 0 0
T21 0 10 0 0
T53 0 10 0 0
T54 0 10 0 0
T55 1366 0 0 0
T56 978 0 0 0
T57 1909 0 0 0
T58 17810 0 0 0
T59 2228 0 0 0
T60 367787 0 0 0
T61 607 0 0 0
T62 1119 0 0 0
T63 772652 0 0 0

gen_edn_fsm_asserts[6].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 50 0 0
T19 17853 10 0 0
T20 0 10 0 0
T21 0 10 0 0
T53 0 10 0 0
T54 0 10 0 0
T55 1366 0 0 0
T56 978 0 0 0
T57 1909 0 0 0
T58 17810 0 0 0
T59 2228 0 0 0
T60 367787 0 0 0
T61 607 0 0 0
T62 1119 0 0 0
T63 772652 0 0 0

gen_edn_if_asserts[0].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 0 0 0

gen_edn_if_asserts[0].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 0 0 0

gen_edn_if_asserts[0].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 209401306 0 0
T1 2898 2802 0 0
T2 17360 17008 0 0
T3 1316 1231 0 0
T4 1609 1494 0 0
T23 3703 3653 0 0
T24 1294 1203 0 0
T30 4772 4677 0 0
T34 1575 1499 0 0
T42 934 841 0 0
T45 1134 1073 0 0

gen_edn_if_asserts[0].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 124837 0 0
T4 1609 964 0 0
T5 1257 590 0 0
T6 0 1072 0 0
T15 0 1132 0 0
T16 0 204 0 0
T22 0 20 0 0
T23 3703 0 0 0
T24 1294 0 0 0
T28 1827 0 0 0
T30 4772 0 0 0
T40 0 32 0 0
T42 934 0 0 0
T43 1159 0 0 0
T45 1134 0 0 0
T52 2454 0 0 0
T64 0 502 0 0
T65 0 1161 0 0
T66 0 7 0 0

gen_edn_if_asserts[1].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 0 0 0

gen_edn_if_asserts[1].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 0 0 0

gen_edn_if_asserts[1].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 209401306 0 0
T1 2898 2802 0 0
T2 17360 17008 0 0
T3 1316 1231 0 0
T4 1609 1494 0 0
T23 3703 3653 0 0
T24 1294 1203 0 0
T30 4772 4677 0 0
T34 1575 1499 0 0
T42 934 841 0 0
T45 1134 1073 0 0

gen_edn_if_asserts[1].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 124837 0 0
T4 1609 964 0 0
T5 1257 590 0 0
T6 0 1072 0 0
T15 0 1132 0 0
T16 0 204 0 0
T22 0 20 0 0
T23 3703 0 0 0
T24 1294 0 0 0
T28 1827 0 0 0
T30 4772 0 0 0
T40 0 32 0 0
T42 934 0 0 0
T43 1159 0 0 0
T45 1134 0 0 0
T52 2454 0 0 0
T64 0 502 0 0
T65 0 1161 0 0
T66 0 7 0 0

gen_edn_if_asserts[2].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 0 0 0

gen_edn_if_asserts[2].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 0 0 0

gen_edn_if_asserts[2].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 209401306 0 0
T1 2898 2802 0 0
T2 17360 17008 0 0
T3 1316 1231 0 0
T4 1609 1494 0 0
T23 3703 3653 0 0
T24 1294 1203 0 0
T30 4772 4677 0 0
T34 1575 1499 0 0
T42 934 841 0 0
T45 1134 1073 0 0

gen_edn_if_asserts[2].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 124837 0 0
T4 1609 964 0 0
T5 1257 590 0 0
T6 0 1072 0 0
T15 0 1132 0 0
T16 0 204 0 0
T22 0 20 0 0
T23 3703 0 0 0
T24 1294 0 0 0
T28 1827 0 0 0
T30 4772 0 0 0
T40 0 32 0 0
T42 934 0 0 0
T43 1159 0 0 0
T45 1134 0 0 0
T52 2454 0 0 0
T64 0 502 0 0
T65 0 1161 0 0
T66 0 7 0 0

gen_edn_if_asserts[3].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 0 0 0

gen_edn_if_asserts[3].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 0 0 0

gen_edn_if_asserts[3].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 209401306 0 0
T1 2898 2802 0 0
T2 17360 17008 0 0
T3 1316 1231 0 0
T4 1609 1494 0 0
T23 3703 3653 0 0
T24 1294 1203 0 0
T30 4772 4677 0 0
T34 1575 1499 0 0
T42 934 841 0 0
T45 1134 1073 0 0

gen_edn_if_asserts[3].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 124837 0 0
T4 1609 964 0 0
T5 1257 590 0 0
T6 0 1072 0 0
T15 0 1132 0 0
T16 0 204 0 0
T22 0 20 0 0
T23 3703 0 0 0
T24 1294 0 0 0
T28 1827 0 0 0
T30 4772 0 0 0
T40 0 32 0 0
T42 934 0 0 0
T43 1159 0 0 0
T45 1134 0 0 0
T52 2454 0 0 0
T64 0 502 0 0
T65 0 1161 0 0
T66 0 7 0 0

gen_edn_if_asserts[4].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 0 0 0

gen_edn_if_asserts[4].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 0 0 0

gen_edn_if_asserts[4].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 209401306 0 0
T1 2898 2802 0 0
T2 17360 17008 0 0
T3 1316 1231 0 0
T4 1609 1494 0 0
T23 3703 3653 0 0
T24 1294 1203 0 0
T30 4772 4677 0 0
T34 1575 1499 0 0
T42 934 841 0 0
T45 1134 1073 0 0

gen_edn_if_asserts[4].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 124837 0 0
T4 1609 964 0 0
T5 1257 590 0 0
T6 0 1072 0 0
T15 0 1132 0 0
T16 0 204 0 0
T22 0 20 0 0
T23 3703 0 0 0
T24 1294 0 0 0
T28 1827 0 0 0
T30 4772 0 0 0
T40 0 32 0 0
T42 934 0 0 0
T43 1159 0 0 0
T45 1134 0 0 0
T52 2454 0 0 0
T64 0 502 0 0
T65 0 1161 0 0
T66 0 7 0 0

gen_edn_if_asserts[5].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 0 0 0

gen_edn_if_asserts[5].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 0 0 0

gen_edn_if_asserts[5].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 209401306 0 0
T1 2898 2802 0 0
T2 17360 17008 0 0
T3 1316 1231 0 0
T4 1609 1494 0 0
T23 3703 3653 0 0
T24 1294 1203 0 0
T30 4772 4677 0 0
T34 1575 1499 0 0
T42 934 841 0 0
T45 1134 1073 0 0

gen_edn_if_asserts[5].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 124837 0 0
T4 1609 964 0 0
T5 1257 590 0 0
T6 0 1072 0 0
T15 0 1132 0 0
T16 0 204 0 0
T22 0 20 0 0
T23 3703 0 0 0
T24 1294 0 0 0
T28 1827 0 0 0
T30 4772 0 0 0
T40 0 32 0 0
T42 934 0 0 0
T43 1159 0 0 0
T45 1134 0 0 0
T52 2454 0 0 0
T64 0 502 0 0
T65 0 1161 0 0
T66 0 7 0 0

gen_edn_if_asserts[6].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 0 0 0

gen_edn_if_asserts[6].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 0 0 0

gen_edn_if_asserts[6].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 209401306 0 0
T1 2898 2802 0 0
T2 17360 17008 0 0
T3 1316 1231 0 0
T4 1609 1494 0 0
T23 3703 3653 0 0
T24 1294 1203 0 0
T30 4772 4677 0 0
T34 1575 1499 0 0
T42 934 841 0 0
T45 1134 1073 0 0

gen_edn_if_asserts[6].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 209531609 124837 0 0
T4 1609 964 0 0
T5 1257 590 0 0
T6 0 1072 0 0
T15 0 1132 0 0
T16 0 204 0 0
T22 0 20 0 0
T23 3703 0 0 0
T24 1294 0 0 0
T28 1827 0 0 0
T30 4772 0 0 0
T40 0 32 0 0
T42 934 0 0 0
T43 1159 0 0 0
T45 1134 0 0 0
T52 2454 0 0 0
T64 0 502 0 0
T65 0 1161 0 0
T66 0 7 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%